vivado中复数乘法器IP核使用小结

vivado中复数乘法器IP核使用小结

添加ip核

进入工程,点击IP Catalog,在弹出的窗口中点击数学功能–math functions,选择multipliers–complex multiplier,即复数乘法器。
在这里插入图片描述

根据设计需求对IP核进行修改

双击ip核,进行参数设置。
在这里插入图片描述
我们平时需要进行的参数设置为ip核名字,输入位宽,此处命名复数乘法器ip核为mult,设置两个乘数的位宽为12。
点击implementation details
在这里插入图片描述
可以看出,输入乘数[11:0]为实部,[27:16]为虚部,输出结果[24:0]为实部,[56:32]为虚部。
点击乘法器ip核下.v文件,查看例化

  • 3
    点赞
  • 68
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值