vivado乘法器IP核简单调用

vivado乘法器IP核简单调用

VIVADO设置

image-20220323180936994

搜索mult

image-20220323181053397

image-20220323181340060

image-20220323181436104

其中流水线的级数就是从出入数据到输出数据需要的时钟数,因为乘法器内部是以流水线的形式实现的。

代码实现以及简单仿真

生成的乘法器参数为:

输入输出数据为16位有符号数,输出为32位,5级流水线。

image-20220323184734585

在这个位置有自动生成的例化模板

仿真代码:


                
  • 5
    点赞
  • 75
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值