八位比较器的设计与仿真

若对你有用,记得点赞、关注我哦!

B站同名up猪,欢迎关注我的账号鸽子不二的个人空间-鸽子不二个人主页-哔哩哔哩视频哔哩哔哩鸽子不二的个人空间,提供鸽子不二分享的视频、音频、文章、动态、收藏等内容,关注鸽子不二账号,第一时间了解UP主动态。icon-default.png?t=N7T8https://space.bilibili.com/204913846博客总领目录请看这篇,不看后悔

软件工程专业大学四年学什么_大学近代史学分是多少-CSDN博客icon-default.png?t=N7T8https://blog.csdn.net/qq_41587612/article/details/104362661

计算机组成原理期末复习【超实用】

一位全加器的设计与仿真

四位全加器的设计与仿真

简单运算器的设计与仿真

1/2分频器的设计和仿真

四选一多路选择器的设计与仿真

1. 实验题目

        八位比较器的设计与仿真

2. 实验目的

        设计一个字节(8 位)的比较器,并进行仿真测试。

3. 实验要求

        比较两个一字节数据 a[7:0],b[7:0]的大小,如a[7:0]大于b[7:0],则输出1,否则输出 0。

4.程序代码

Verilog代码:
module compare(a,b,out);
input [7:0] a,b;
output out;
assign out=(a[7:0]>b[7:0])?1:0;
endmodule
仿真代码:
`timescale 1ns/1ns
`include "./compare.v"
module comparetest;
  reg[7:0] a,b;
  wire equal;
  initial
  begin
    a='b00000000;
    b='b00000000;
    #100 a='b00000000;b='b00000001;
    #100 a='b00010001;b='b00000011;
    #100 a='b00000001;b='b00000010;
    #100 a=100;b=99;
    #100 a=123;b=125;
    #100 a=135;b=130;
    #100 a=245;b=246;
    #100 $stop;
  end
  compare compare(.out(out),.a(a),.b(b));
endmodule

5. 实验结果

       本实验比较两个一字节数据a[7:0],b[7:0]的大小,根据本题波形分析,每隔100个时间单位后a与b重新赋值进行比较,第一段波形中a=b=000000二者相等,输出的out值为1,100个时间单位后b的值变为1,此时b>a,a与b不等,输出out值为0,后面给定的多组测试数据以此类推。

  • 16
    点赞
  • 81
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值