所有文章汇总

文章目录

一、Git

1.Git基础命令学习

2.Git出现error:could_not_lock_config_file_C:/Users/.gitconfig:Permission_denied

3.使用Git命令批量上传文件

二、数字IC设计相关知识

(一)知识点讲解

前端设计

1.Synopsys逻辑综合及DesignCompiler的使用
2.Makefile学习笔记
3.cmake学习
4.cmake出错:Building_inplace_are_not_allowed.You_should_create_a_separate_directory_for_Building.
5.VHDL硬件描述语言学习笔记(一)
6.VHDL硬件描述语言学习笔记(二)
7.VHDL硬件描述语言学习笔记(三)
8.HLS新手入门教程
9.数字IC/FPGA设计基础_门控时钟(clock gating)
10.HLS 三角函数报错:undefined reference to ‘cordic_apfixed::circ_table_arctan_128‘
11.使用HLS FFT报错: undefined reference to‘xilinx_ip_xfft_v9_1_*‘问题解决方法

后端设计

1.ICCII后端设计流程-超详细
2.ICC使用----ICC_1_Lab_Guide学习笔记

验证

1.UVM简介

(二)工具使用

1.ModelSim+Debussy联调

2.Modelsim的tcl命令

3.gvim【一】【安装和基本使用】

4.gvim【二】【ab命令快速制作verilog模板】

4.画时序图软件——TimeGen和TimingDesigner免费下载

5.Compxlib_does_not_support_mti_se_version_6.3b_or_prior_releases.

6.XPS10.1仿真PPC440步骤及遇到的问题

7.ADS元器件面板介绍

8.cadence_virtuoso画版图提示LUP.6错误

(三)实战演练

1.Verilog实现FIFO设计(一)之同步8位深度

2.Verilog实现FIFO设计(二)之异步可变位宽,可变深度

3.4位加法器四级流水线、4位加法器两级流水线以及32位加法器八级流水线设计

4.4人抢答器----基于StepMAX10小脚丫开发板

5.LEGv8处理器的Verilog实现

(1)一个简单LEGv8处理器的Verilog实现【一】【实验简介】
(2)一个简单LEGv8处理器的Verilog实现【二】【指令相关基础知识与实验分析】
(3)一个简单LEGv8处理器的Verilog实现【三】【工具使用和编程规范】】

三、Linux操作系统 && 虚拟机

1.Linux虚拟机(CentOS5)学习笔记(一)之基本命令

2.Linux虚拟机(CentOS5)学习笔记(二)之基本命令

3.Linux虚拟机(CentOS5)学习笔记(三)之Vim

4.Linux虚拟机(CentOS5)学习笔记(四)之自有服务

5.Linux虚拟机(CentOS5)学习笔记(五)之自有服务

6.Linux虚拟机(CentOS5)学习笔记(六)之权限管理

7.Linux虚拟机(CentOS5)学习笔记(七)之网络基础

8.Linux虚拟机(CentOS5)学习笔记(八)之Shell基础

9.Linux虚拟机(CentOS5)学习笔记(九)之Mysql基础(完结)

10.Linux-CentOS5学习过程中遇到的问题

11.Vmvare虚拟机使用时遇到的问题汇总

12.Linux常用命令

四、嵌入式相关

1.ADIS16465基本读写程序及资料

2.ADIS16465姿态解算+卡尔曼滤波代码

3.C语言中内嵌汇编asm语法

4.通过qemu-riscv64实现Tengine

五、计算机视觉

(一)双目

1.双目立体匹配入门【一】(理论)

2.双目立体匹配入门【二】(实战)

(二)调研

1.3D深度相机调研【史上最全,不服来战】

(三)论文阅读

1.【立体匹配论文阅读】【一】AD-Census

2.【立体匹配论文阅读】【二】CREStereo

3.【立体匹配论文阅读】【二】通过Anaconda搭建CREStereo虚拟测试环境(Win10系统)

4.【立体匹配论文阅读】【三】INTS

(四)代码阅读

1.libSGM的horizontal_path_aggregation程序解读

六、其他

1.Typora使用详解+图床

2.Word设置标题以及自动编号——保姆级教程

评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值