数字逻辑综合工具-DC-05 ——环境约束

数字逻辑综合工具-DC-05

——环境约束

环境设置通常包含以下几个方面:
1、 Input drivers和transition times(设置驱动能力、转换时间,而不是一个理想信号)
2、 Capacitive output loads(设置要驱动的电容负载)
3、 PVT(一般选worst case)
4、 内部寄生RC(线负载模型)

输入驱动:
为什么要加输入驱动?
一般来说要从工艺库里面挑一个合适的单元去激励输入端口。如果不加驱动单元,DC就会认为输入的跳变是理想的,如果指定了驱动单元,输入就会有一个斜率,从而内部逻辑的延时会更准确(传播延时跟输入信号的transition time是有关系的)。

为了保证芯片能正常工作,一般会选择最坏情况的SS去做综合。

为输出的管脚建模:
set_load [expr 30.0/1000] [get_ports B]

单位是在工艺库里给出的,通过list_lib看用的哪个工艺库, report_lib把lib的信息打出来
在这里插入图片描述

set_load [load_of my_lib/AN2/A] [get_ports B]
这边的my_lib是库,AN2是库单元,A是pin脚(是pin脚而不是port,port是跟design对应的,而pin是跟cell对应的)
含义就是:把这个库单元的这个引脚的电容拿出来,加载到B输出端口上

set_load [expr {[load_of my_lib/inv1a0/A]*3}] [get_ports B]
如果有多个fanout,可以用expr计算

【transition time 的影响:】

  • 1
    点赞
  • 36
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
数字逻辑综合工具实践-DC(Design Compiler)是一种常用的数字电路设计综合工具,用于将高级语言或RTL(Register Transfer Level)描述的电路代码转化为门级网表,为后续物理布局布线提供基础。 DC具有以下几个主要功能和特点: 1. RTL综合DC可以将高级语言(如Verilog或VHDL)编写的RTL描述代码转换为门级网表。通过RTL综合,设计工程师可以将抽象级别的设计逻辑转化为底层的门级逻辑,为后续的物理实现提供基础。 2. 优化功能:DC能够根据用户设定的综合约束进行逻辑优化,包括时序约束、功耗约束和布局约束等。综合工具会尽可能地优化电路,以满足用户的性能需求,并尽量减少功耗,提高面积利用率。 3. 时序分析:DC可以进行时序分析,帮助设计工程师评估电路的工作频率、时序要求是否满足。通过时序分析,可以预测电路的最大工作频率,从而保证电路在实际应用中能够正常工作。 4. 物理约束DC可以根据用户设定的物理约束进行综合,包括布局约束、布线约束等。通过物理约束的设定,可以将综合工具生成的逻辑网表与实际布局布线的规范相匹配,提高物理实现的可靠性和可制造性。 数字逻辑综合工具实践-DC在现代集成电路设计中扮演着重要的角色。它可以将高级设计逻辑转化为底层门级逻辑,为后续的布局布线提供基础。它具有综合优化、时序分析和物理约束等功能,能够帮助设计工程师提高设计的性能、功耗和可靠性。在实际应用中,DC可以减少设计工程师的工作量,提高设计的效率和质量。因此,数字逻辑综合工具实践-DC是现代数字电路设计不可或缺的工具之一。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值