基于FPGA的HDB3 码编译码器的设计(下)

本文详细介绍了基于CPLD的HDB3码译码器设计,探讨了使用CPLD进行设计的优势,包括缩短研制周期、降低成本和提高设计灵活性。文章阐述了译码器的FPGA实现流程,包括双单极性变换、译码功能模块、误码检测和位同步提取模块的设计。通过VHDL硬件描述语言进行编程,实现了HDB3码到NRZ码的转换,并进行了详细的仿真验证,证明了设计的正确性和有效性。
摘要由CSDN通过智能技术生成

第4章HDB3 译码器实现方法分析
        采用可编程逻辑器件(CPLD)进行设计,通过设计芯片来实现系统功能的方法称为基于芯片的设计方法。与传统的 ASIC 设计相比,用可编程逻辑器件进行设计具有更多的优点:(1) 电路设计人员使用FPGA/CPLD 进行电路设计时,不需要具备专门的 ICI 集成电路深层次的知识,可以使设计人员集中精力进行电路功能设计。(2) 缩短研制周期。可编程 ASIC 相对于用户而言,可以按一定的规格型号像通用器件一样在市场上买到,其 ASIC 功能的实现完全独立于 IC 工厂,由用户在实验室或办公室就可完成,由于采用先进的 EDA 技术,可编程逻辑器件的设计与编程均十分方便和有效,整个设计通常只需几天便可完成,缩短了产品研制周期,有利于产品的快速上市。(3) 降低设计成本与直接设计掩膜 ASIC 相比,使用 CPLD 的费用小、成功率高。(4) 提高设计灵活性。可编程逻辑器件是一种由用户编程实现芯片功能的器件,与由工厂编程的掩膜 ASIC 相比,具有更好的设计灵活性。首先,配套的设计软件,如 MAX+PLUSII提供了多种的设计方法,其中包括了原理图的设计方法,编程设计方法,方便灵活,为用户提供了方便。第二,可编程逻辑器件在设计完成后可立即编程仿真!21,有利于及早发现设计中的问题,完善设计:第三,可编程逻辑器件中大多数器件均可反复多次编程,为设计修改和产品升级带来了方便。

摘 要 HDB3是基带传输型之一,因为它具有无直流分量、低频分量少、连0数不超过3个这些特点,所以有利于信号的恢复和检验,所以HDB3被广泛应用到井下电缆遥传系统以及高速长距离书记通信中等。FPGA具有成本低、可靠性高、开发周期短、可重复程等特点。利用EDA技术,可对其实现硬件设计软件化,加速了数字系统设计的效率,降低了设计成本。本文先对HDB3FPGA器件和EDA技术的发展背景进行简述。接着阐述EDA技术中常用的VHDL语言的发展与优点,并以VHDL为核心,简要说明硬件电路的设计的方法步骤。然后介绍HDB3原理以及其特点。最后,对HDB3译原理进行重点分析,并且以VHDL语言为主,分别对器部分和译码器部分的具体实现方法进行说明,给出具体设计的思考方案和程序流程图,并对设计方案进行软件仿真,同时给出仿真结果并对其进行分析,证明设计方案的正确性。最后,完成曼彻斯特码编器与译码器设计,进行对比学习。 关键词:HDB3FPGAEDA;VHDL;曼彻斯特 Abstract HDB3 code is one of codes used in the transmission system. It has no DC components and a few of LF components. Moreover, it has continuous zeros no more than three. The features of HDB3 code help the signal to be rebuilt and be checked for error easily, so HDB3 code is the commonly used code in the transmission system. Low cost, dependability, short design cycle and repeated program ability are the features of FPGA. You can design hardware of digital circuits by using software as a result of using FPGA with EDA. It will construct the digital system quickly system quickly and reduce the cost of design. This paper first introduces the development and background of HDB3.FPGA and EDA, and then expands VHDL. which is commonly used as design-entry language for EDA.A summary of digital circuits’ design by using VHDL is provided. Moreover, the principle and decoder is designed by using VHDL. Finally, the plan of design, the flow of software design and the simulated waveform of HDB3 encoder and decoder is presented, showing correctness of the design.Finally, the design of the encoder and decoder of the Manchester encoder and decoder is completed and compared with the study. Keywords: HDB3 code; FPGA ; EDA ; VHDL; Manchester code; Encoder and Decoder 目录 第一章 概述 1 1.1 HDB3的简述 1 1.2 FPGA简介 2 1.2.1 FPGA的发展历程 2 1.2.2 FPGA基本结构及其特点 3 1.3 EDA技术 4 1.4 VHDL硬件描述语言 4 1.4.1 简介 4 1.4.2 VHDL具有的特点 5 1.4.3 VHDL的优点 7 1.4.4 VHDL设计硬件电路的方法 7 第二章 HDB3译规则 10 2.1主要的基带传输型 10 2.1.1 NRZ规则 10 2.1.2 AMI规则 10 2.2 HDB3规则 11 2.3 HDB3的译规则 12 2.4 HDB3的检错能力 12 第三章 HDB3器的FPGA实现 13 3.1 HDB3码编器的实现分析 13 3.2 HDB3码编器的设计思路 13 3.2.1 4连‘0’的检出加V及判‘1’极性 13 3.2.2 取代节的选取 13 3.3设计建模 14 3.3.1插“V”模块设计仿真 15 3.3.2插“B”模块设计仿真 17 3.3.3 HDB3器的极性转换模块设计仿真 20 第四章 HDB3译码器FPGA实现 24 4.1 译码器的实现分析 24 4.2 HDB3译码器设计思路 24 4.3 V的检测 25 4.4 扣V扣B 25 4.5 设计建模 25 4.5.1扣V扣B的实现 26 4.6 软件仿真 26 第五章 结论 28 参考文献 29 附录 30 谢辞 38
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

BinaryStarXin

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值