基于FPGA的HDB3数字编码器设计

160 篇文章 537 订阅 ¥79.90 ¥99.00
本文详细介绍了基于FPGA的HDB3数字编码器设计过程,包括HDB3码的编码规则和优势。通过MATLAB仿真验证算法可靠性,接着使用Verilog HDL进行硬件实现。在QuartusII环境下完成编码、仿真,并在FPGA上实现,通过示波器验证。此外,还探讨了定时同步技术以及Verilog HDL在FPGA系统开发中的应用。
摘要由CSDN通过智能技术生成

1.问题描述:

      数字基带信号的传输是数字通信系统的重要组成部分之一。在数字通信中,一般由信源发出的数字基带信号含有丰富的低频分量,甚至直流分量,这些信号往往不宜直接用于传输,易产生码间干扰进而直接影响传输的可靠性,为了使基带信号能适合在基带信道中传输,通常需要经过基带信号变换。概括了HDB3数字编码器的研究背景、意义,同时对FPGA技术和编码技术作了简要的说明。首先详细的介绍了各种编码技术,并对比了HDB3编码技术的优势,然后通过MATLAB对HDB3的编码译码进行算法的仿真,从而验证算法的可靠性。然后采用Verilog HDL硬件描述语言对系统进行设计与实现。其中HDB3码的编码程序设计是在QuartusⅡ软件环境下进行的。在QuartusII软件中完成HDB3码的编码、仿真等步骤后,通过下载电缆将程序下载到特定的FPGA芯片上,用示波器进行分析,验证该研究的可行性。

   HDB3码是AMI码的改进型,通常被称为三阶高密度双极性码。 HDB3码的编码规则第一步:检查消息代码的连0串,当没有4个或4个以上连零串的时候,按AMI码的编

码规则对消息代码进行编码;AMI编码规则如下所示:

    原码:   1 0 0 0 0  1 0 0 0 0  1  1 0 0 0 0   1  1

  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
摘 要 HDB3是基带传输型之一,因为它具有无直流分量、低频分量少、连0数不超过3个这些特点,所以有利于信号的恢复和检验,所以HDB3被广泛应用到井下电缆遥传系统以及高速长距离书记通信中等。FPGA具有成本低、可靠性高、开发周期短、可重复编程等特点。利用EDA技术,可对其实现硬件设计软件化,加速了数字系统设计的效率,降低了设计成本。本文先对HDB3FPGA器件和EDA技术的发展背景进行简述。接着阐述EDA技术中常用的VHDL语言的发展与优点,并以VHDL为核心,简要说明硬件电路的设计的方法步骤。然后介绍HDB3的编译原理以及其特点。最后,对HDB3的编译原理进行重点分析,并且以VHDL语言为主,分别对编码器部分和译器部分的具体实现方法进行说明,给出具体设计的思考方案和程序流程图,并对设计方案进行软件仿真,同时给出仿真结果并对其进行分析,证明设计方案的正确性。最后,完成曼彻斯特编码器与译器的设计,进行对比学习。 关键词:HDB3FPGA;EDA;VHDL;曼彻斯特;编译 Abstract HDB3 code is one of codes used in the transmission system. It has no DC components and a few of LF components. Moreover, it has continuous zeros no more than three. The features of HDB3 code help the signal to be rebuilt and be checked for error easily, so HDB3 code is the commonly used code in the transmission system. Low cost, dependability, short design cycle and repeated program ability are the features of FPGA. You can design hardware of digital circuits by using software as a result of using FPGA with EDA. It will construct the digital system quickly system quickly and reduce the cost of design. This paper first introduces the development and background of HDB3.FPGA and EDA, and then expands VHDL. which is commonly used as design-entry language for EDA.A summary of digital circuits’ design by using VHDL is provided. Moreover, the principle and decoder is designed by using VHDL. Finally, the plan of design, the flow of software design and the simulated waveform of HDB3 encoder and decoder is presented, showing correctness of the design.Finally, the design of the encoder and decoder of the Manchester encoder and decoder is completed and compared with the study. Keywords: HDB3 code; FPGA ; EDA ; VHDL; Manchester code; Encoder and Decoder 目录 第一章 概述 1 1.1 HDB3的简述 1 1.2 FPGA简介 2 1.2.1 FPGA的发展历程 2 1.2.2 FPGA基本结构及其特点 3 1.3 EDA技术 4 1.4 VHDL硬件描述语言 4 1.4.1 简介 4 1.4.2 VHDL具有的特点 5 1.4.3 VHDL的优点 7 1.4.4 VHDL设计硬件电路的方法 7 第二章 HDB3的编译规则 10 2.1主要的基带传输型 10 2.1.1 NRZ的编规则 10 2.1.2 AMI的编规则 10 2.2 HDB3的编规则 11 2.3 HDB3的译规则 12 2.4 HDB3的检错能力 12 第三章 HDB3编码器FPGA实现 13 3.1 HDB3编码器的实现分析 13 3.2 HDB3编码器设计思路 13 3.2.1 4连‘0’的检出加V及判‘1’极性 13 3.2.2 取代节的选取 13 3.3设计建模 14 3.3.1插“V”模块设计及仿真 15 3.3.2插“B”模块设计及仿真 17 3.3.3 HDB3编码器的极性转换模块设计及仿真 20 第四章 HDB3器的FPGA实现 24 4.1 译器的实现分析 24 4.2 HDB3器的设计思路 24 4.3 V的检测 25 4.4 扣V扣B 25 4.5 设计建模 25 4.5.1扣V扣B的实现 26 4.6 软件仿真 26 第五章 结论 28 参考文献 29 附录 30 谢辞 38
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

fpga和matlab

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值