【无标题】

VCS 使用小结

常用编译指令为

vcs -full64 -debug_access+all  -sverilog -kdb -timescale=1ns/1ns -f <file.f> -top < top name>

编译选项

选项作用
-full64支持64位模式运行
-debug_all支持所有debug 操作, all 打开所有权限。(DVE选项)
-debug_access+allverdi 选项
-kdb生成verdi运行的库
+define+< define_name >=< value >编译时候指定定义常量名及值,优先级大于源文件中的define。同时也可以配合`ifdef 食用
-sverilog支持编译 system verilog
-timescale定义时间精度
-f <file.f>源文件集合,将源文件绝对路径置于file.f文件下
-top定义顶层文件
+vcs+fsdbon生成novas.fsdb文件 名字无法改变

运行方式

./simv

Verdi 使用小结

打开波形常用命令

verdi -ssf name.fsdb &

生成name.fsdb文件的两个方法

1、源文件顶层加入以下语句

initial begin
  $fsdbDumpfile("name.fsdb");
  $fsdbDumpvars; 
  //$fsdbDumpvars(value,moudle_name);// 从moudle_name开始 dump第vlaue层
  $fsdbDumpMDA();//可以在波形中加入寄存器数组
end
./simv

2、TCL命令+运行
Step1:需要新建 <name>.tcl文件加入以下代码

call {$fsdbDumpfile("name.fsdb")}
call {$fsdbDumpvars}
run

Step2:需要在运行时加入以下代码

./simv -ucli -i <name>.tcl

-ucli 是启动交互式命令行
-i 是运行<name>.tcl中的指令

解决Verdi无法加载当前目录.fsdb的问题

可以检测bashrc文件中有无对verdi命令进行改动
编辑bashrc文件

gvim ~/.bashrc

立即生效bashrc文件

source ~/.bashrc

Verdi波形分组保存问题

可以shift+s将当前分组保存为<name>.rc文件
通过在波形下r键,加载已有波形分组

========================================================

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值