Vivado---Debug波形保存并打开的方法

方法一:

  1. 抓取到波形之后,在TCL窗口输入指令:

write_hw_ila_data C:/wave_ila_1.ila [upload_hw_ila_data hw_ila_1]

或者点击如下按钮:

选择保存为.ila文件

  1. 在对应的路径中找到生成的文件。
  2. 需要打开波形文件时,在VIVADO中打开Hardware Manager(Debug界面)执行如下操作。

在弹出的窗口打开波形文件即可。

方法二:

  1. 将Debug波形保存为.vcd格式

  1. 打开modelsim,定位到波形文件所在的文件夹
  2. 输入指令:

vcd2wlf xxx.vcd xxx.wlf

  1. 在modelsim中打开生成的.wlf文件,即可查看波形。
  • 3
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值