基于 FPGA 的 TDC 调研报告-2022/02/24

我是 雪天鱼,一名FPGA爱好者,研究方向是FPGA架构探索和数字IC设计。

关注公众号【集成电路设计教程】,获取更多学习资料,并拉你进“IC设计交流群”。
QQIC设计交流群 群号:866169462

最近对基于FPGA平台的TDC实现进行了调研,撰写了份调研报告。

enter description here

现分享一部分内容如下:
完整报告链接:https://download.csdn.net/download/qq_44447544/83046072

一、TDC简介

1.1 TDC是什么?

激光雷达系统通过发射激光束来探测目标物体的轮廓﹑位置、速度等信息。目前激光测距系统的主流方法为飞行时间(Time-of-Fight)测量方案﹐测距信息来源于入射信号与回波信号的时间间隔值。而时间测量则是由TDC(Time-to-Digital Converters)即时间数字转换电路完成,TDC直接决定了测距系统的参数性能。

enter description here

图1 激光雷达测距

1.2 TDC技术基本原理

1.2.1 直接计数法

enter description here

图2 直接计数法原理图

直接计数法是利用系统的时钟周期对时间间隔内完整的时钟周期数进行计数,从而实现对时间间隔的测量。如图2所示,T0为被测时间间隔,T1为被测时间间隔的起始时刻,T2为被测时间间隔的结束时刻,则理论上的时间间隔T0=T1 - T2。但是,由于对时钟信号进行计数的是整数个周期,在实际测量时起始信号或结束信号并不完全与时钟信号的上升沿重合,所以最终的测量结果会带来最大为两个时钟周期的误差,即t1 +t2

1.2.2 基本抽头延迟链TDC

基本抽头延迟链TDC由基本的D触发器和延迟单元构成,利用延迟单元的延时特性,将这些延迟单元的状态通过抽头的方式引出来进行时间间隔的测量。

enter description here

图3 基本抽头延迟链构造原理图

图3为基本抽头延迟链的构造原理图,Start起始信号经过延迟单元后产生一定的延迟,将延迟单元的输出端与D触发器的信号输入端相连,Stop 结束信号作为D触发器的时钟信号。在测量时,当Stop 结束信号的上升沿到来时,D触发器将会锁存所有抽头的状态,通过计算Start信号经过延迟单元的个数确定其位置来实现时间测量。
如图4所示,Stop信号到来时Start信号已经经过延迟单元的触发器锁存值为1,未经过的为0,那么Start信号与Stop信号之间的时间间隔即为D触发器输出为1的个数与单个延迟单元延迟时间的乘积。

enter description here

图4 Start信号与Stop信号时间间隔分析图
起始信号在截止信号到来时所经过的延迟单元的个数N_TDC,最后得到该段时间间隔值为:

enter description here

其中TBUF为单个延迟单元的延时时间。基本抽头延迟链 TDC的分辨率为延迟链中单个延迟单元的延迟时间,并且延迟单元的延迟时间应为延迟元件的延迟时间与其走线延迟之和。

1.2.3 循环延迟链TDC

上述基本抽头延迟链 TDC由于存在延迟链中延迟单元的延迟时间不一致会增加TDC系统的非线性程度,并且延迟链的长度越长,这种非线性程度就越大,有时会覆盖一个甚至几个最低有效位(LSB),产生比较大的误差。如果待测量的时间间隔较大时,需要增加延迟链的长度,但是FPGA中的资源有限,有时不能满足其要求,这就需要将延迟链设计为环形的结构。通过重复使用相同的延迟单元,不仅可以减小延迟单元延时时间不-致对系统线性度的影响,而且可以节约FPGA中的资源。

enter description here

图5 循环延迟链法TDC原理图
图5所示的循环延迟链TDC,通过将延迟链的首尾信号通过数据选择器相接,并使用一个环路计数器统计信号循环的圈数来构造。这种设计可以保证在延迟单元数量不变的前提下扩大TDC的测量范围。在该TDC中,当停止信号的上升沿到来时,Stop信号作为时钟信号将所有触发器的状态锁存,并终止循环计数器计数,通过环路计数器和触发器的锁存值可以实现时间间隔测量的功能。

1.3 TDC主要的技术指标

(1) 分辨率
分辨率是指TDC可以辨别的最小的时间间隔,也可以将其称为最低有效位(LSB,Least Significant Bit),这个参数越小越好,它是 TDC输入输出传输特性曲线的量化步长。
(2) 测量范围
测量范围是指TDC能够测量到的最大的时间间隔,如果要获得较大的测量范围,一般会占用芯片较多的面积和逻辑资源。
(3) 非线性度
TDC 的非线性误差是指由于延迟单元的延迟时间不一致、芯片制程工艺不同、芯片的电压和温度变化以及信号串扰等问题引起的TDC 实际量化特性与理想量化特性之间的偏差。
对TDC非线性度的分析主要通过微分非线性(DNL)和积分非线性(INL)两个指标来衡量。其中 DNL是指TDC 实际延迟单元的延迟时间与理想延迟单元延迟时间(平均值)的偏差,是输入输出传输特性曲线中实际步长与理论步长之差,而INL则是指沿着整个延迟链从起始位置到当前位置对DNL 的积分值。一般用理想延迟单元的延迟时间为单位来表示 DNL和 INL,即一个LSB的时间。
(4) 测量精度
测量精度也被称为单次精度或标准偏差,是指TDC 在测量脉冲信号的时间间隔时,由于受到内部和外部一些因素的影响,使得实际得到的测量值分布在这段真实时间值的周围。
(5) 死区时间
死区时间是 TDC完成转换并准备好执行新的一次测量所需的时间,该指标体现了 TDC 可以运行的测量速率,现代应用都要求TDC能有较高的采样率,所以死区时间越小越好。
(6)功耗以及资源占用
在数字IC中,功耗主要由静态功耗和动态功耗组合,前者由工艺决定,后者由时钟频率和翻转频率决定。此外TDC所占用的逻辑资源也需要进行考虑,系统逻辑资源分配是否合理,达到能效最大化。

完整报告链接:https://download.csdn.net/download/qq_44447544/83046072

  • 更多技术文章和学习资料,请关注我的公众号:【集成电路设计教程】
  • 全平台统一:【雪天鱼】
  • 3
    点赞
  • 34
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 5
    评论
基于FPGATDC(时钟数字转换器)指的是使用可编程逻辑门阵列(FPGA)实现的时钟测量技术。TDC是一种用于测量事件之间时间差的设备,常用于精确的时间测量、定位和同步应用中。 基于FPGATDC利用FPGA的高度可编程性和并行处理能力来实现高性能的时间测量和处理。与传统的TDC芯片相比,基于FPGATDC具有更高的灵活性和可扩展性,可以实现更复杂的测量功能和算法。 在基于FPGATDC中,时钟信号通过FPGA的时钟分配网络输入到不同的计数器模块中。每个计数器模块对输入信号进行计数,并将结果存储在FPGA的存储器中。通过对计数器值进行处理,可以得到事件之间的时间差。 基于FPGATDC还可以通过分频器模块来改变时钟信号的精度和测量范围。通过调整计数器模块的位宽,可以实现更高的分辨率。同时,基于FPGATDC可以进行数字信号处理、滤波和时间检测等操作,提供更多的功能和性能优化。 基于FPGATDC具有较低的功耗和成本,因为FPGA芯片具有较高的集成度和可重构性。此外,FPGA还具有较高的时钟速度和并行处理能力,可以满足实时性要求较高的应用场景。 总之,基于FPGATDC是一种灵活、高性能、低功耗和成本较低的时钟测量解决方案。它在许多应用领域,如通信、雷达、医学和物联网中都具有重要的应用价值。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

雪天鱼

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值