【AXIS】AXI-Stream FIFO设计实现

AXI-Stream FIFO

  在FPGA中,遇到数据流传输时经常会用到AXI-Stream协议,它主要包含tdata,tvalid,tready三个信号。
在这里插入图片描述

信号名称功能
tdata由发送数据端(主机端master)发送,为需要传输的数据
tvalid由发送数据端(主机端master)发送,代表数据有效
tready由接收数据端(从机端slave)发送,代表可接收数据
tkeep / tstrb / tuser …由发送数据端(主机端master)发送,一般可等同于额外需要传输的数据

  当遇到从机端可能无法响应主机端发来请求的情况时,需要使用FIFO进行数据同步。对于FIFO的实现可以采用如下方式:

  • 深度为1的FIFO

  下述代码通过位拓展的方式实现了一个深度为1的FWFT FIFO,这种方式使用存储及触发器资源的数量最少。

module axis_fifo #(
    parameter TDATA_WIDTH = 1,
    parameter FIFO_DEPTH = 1
) (
    input   logic clk,

    input   logic   [TDATA_WIDTH - 1 : 0]   m_axis_tdata,
    input   logic                           m_axis_tvalid, 
    output  logic                           m_axis_tready, 
    
    output  logic   [TDATA_WIDTH - 1 : 0]   s_axis_tdata,  
    output  logic                           s_axis_tvalid, 
    input   logic                           s_axis_tready  
);


    logic fifo_rd_cnt_r = 1'b0, fifo_wr_cnt_r = 1'b0;

    always_ff @(posedge clk) begin
        if (m_axis_tvalid & m_axis_tready) begin
            fifo_wr_cnt_r <= ~fifo_wr_cnt_r;
        end
    end

    always_ff @(posedge clk) begin
        if (s_axis_tvalid & s_axis_tready) begin
            fifo_rd_cnt_r <= ~fifo_rd_cnt_r;
        end
    end

    assign m_axis_tready = fifo_wr_cnt_r == fifo_rd_cnt_r;
    assign s_axis_tvalid = fifo_wr_cnt_r != fifo_rd_cnt_r;

    logic [TDATA_WIDTH - 1 : 0] ram;

    always_ff @(posedge clk) begin
        if (m_axis_tvalid & m_axis_tready) begin
            ram <= m_axis_tdata;
        end
    end

   always_comb begin
       s_axis_tdata = ram;
   end

endmodule

通过仿真可以看到,数据能够进行缓存输出。

在这里插入图片描述

   上述代码的问题在于无法满足连续数据流的读写需求。
在这里插入图片描述
   为了解决上述问题,通常需要使用深度大于1的fifo。

  • 深度大于1的FIFO

这里以深度为2为例,fifo的实现方式如下

module axis_fifo_3 #(
    parameter TDATA_WIDTH = 1,
    parameter FIFO_DEPTH = 2 // 2 ** n
) (
    input   logic clk,

    input   logic   [TDATA_WIDTH - 1 : 0]   m_axis_tdata,
    input   logic                           m_axis_tvalid, 
    output  logic                           m_axis_tready, 
    
    output  logic   [TDATA_WIDTH - 1 : 0]   s_axis_tdata,  
    output  logic                           s_axis_tvalid, 
    input   logic                           s_axis_tready  
);
    localparam FIFO_DEPTH_WIDTH = $clog2(FIFO_DEPTH);

    logic [FIFO_DEPTH_WIDTH : 0] fifo_rd_cnt_r = 'b0, fifo_wr_cnt_r = 'b0;

    always_ff @(posedge clk) begin
        if (m_axis_tvalid & m_axis_tready) begin
            fifo_wr_cnt_r <= fifo_wr_cnt_r + 'd1;
        end
    end

    always_ff @(posedge clk) begin
        if (s_axis_tvalid & s_axis_tready) begin
            fifo_rd_cnt_r <= fifo_rd_cnt_r + 'd1;
        end
    end

    assign m_axis_tready = ~((fifo_wr_cnt_r[FIFO_DEPTH_WIDTH] != fifo_rd_cnt_r[FIFO_DEPTH_WIDTH]) && (fifo_wr_cnt_r[FIFO_DEPTH_WIDTH - 1 : 0] == fifo_rd_cnt_r[FIFO_DEPTH_WIDTH - 1 : 0]));
    assign s_axis_tvalid = ~(fifo_wr_cnt_r == fifo_rd_cnt_r);

    logic [TDATA_WIDTH - 1 : 0] ram[FIFO_DEPTH - 1:0];

    always_ff @(posedge clk) begin
        if (m_axis_tvalid & m_axis_tready) begin
            ram[fifo_wr_cnt_r[FIFO_DEPTH_WIDTH - 1 : 0]] <= m_axis_tdata;
        end
    end

    always_comb begin
        s_axis_tdata = ram[fifo_rd_cnt_r[FIFO_DEPTH_WIDTH - 1 : 0]];
    end

endmodule

   通过仿真可以看到,在连续数据流情况下,数据能够进行缓存输出。
在这里插入图片描述

完整代码

  完整工程可于公众号回复AXIS_FIFO下载。

  • 7
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
以下是一个简单的AXI4-Stream FIFO写数据的VHDL实现,可以用于仿真或实际硬件实现: ```vhdl library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity axi4_stream_fifo is generic ( DATA_WIDTH : integer := 32; -- 数据宽度 ADDR_WIDTH : integer := 6; -- 地址宽度 MAX_DEPTH : integer := 64 -- 最大深度 ); port ( -- AXI4-Stream接口 s_axis_tdata : in std_logic_vector(DATA_WIDTH-1 downto 0); s_axis_tvalid : in std_logic; s_axis_tready : out std_logic; -- AXI接口 s_axi_awaddr : in std_logic_vector(ADDR_WIDTH-1 downto 0); s_axi_awvalid : in std_logic; s_axi_awready : out std_logic; s_axi_wdata : in std_logic_vector(DATA_WIDTH-1 downto 0); s_axi_wvalid : in std_logic; s_axi_wready : out std_logic; s_axi_bresp : out std_logic_vector(1 downto 0); s_axi_bvalid : out std_logic; s_axi_bready : in std_logic ); end entity; architecture rtl of axi4_stream_fifo is -- FIFO深度计数器 signal count : integer range 0 to MAX_DEPTH-1 := 0; -- FIFO存储器 type fifo_mem_t is array (0 to MAX_DEPTH-1) of std_logic_vector(DATA_WIDTH-1 downto 0); signal fifo_mem : fifo_mem_t := (others => (others => '0')); begin -- AXI4-Stream接口写数据 write_data: process (s_axis_tdata, s_axis_tvalid, s_axis_tready) is begin if (s_axis_tvalid = '1' and s_axis_tready = '1') then -- 数据写入FIFO fifo_mem(count) <= s_axis_tdata; count <= count + 1; end if; end process; -- AXI接口响应写请求 respond_write: process (s_axi_awaddr, s_axi_awvalid, s_axi_awready, s_axi_wdata, s_axi_wvalid, s_axi_wready) is begin if (s_axi_awvalid = '1' and s_axi_awready = '1' and s_axi_wvalid = '1' and s_axi_wready = '1') then -- 写入FIFO的地址为当前深度 s_axi_awaddr <= std_logic_vector(to_unsigned(count-1, ADDR_WIDTH)); -- 写入数据 fifo_mem(count-1) <= s_axi_wdata; -- 计数器加1 count <= count + 1; -- 响应写请求 s_axi_bresp <= "00"; s_axi_bvalid <= '1'; end if; end process; -- AXI接口读请求 read_request: process (s_axi_awaddr, s_axi_awvalid, s_axi_awready) is begin if (s_axi_awvalid = '1' and s_axi_awready = '1') then -- 读请求的地址为0 s_axi_awaddr <= (others => '0'); -- 响应读请求 s_axi_bresp <= "00"; s_axi_bvalid <= '1'; end if; end process; -- AXI接口读数据 read_data: process (s_axi_araddr, s_axi_arvalid, s_axi_arready) is begin if (s_axi_arvalid = '1' and s_axi_arready = '1') then -- 读取FIFO的第一个数据 s_axi_rdata <= fifo_mem(0); -- 读取后计数器减1 count <= count - 1; -- 响应读请求 s_axi_rvalid <= '1'; end if; end process; end architecture; ``` 请注意,这只是一个简单的实现,没有考虑流控制和错误处理。在实际应用中,您可能需要更多的功能和保护。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

wjh776a68

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值