自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(90)
  • 收藏
  • 关注

原创 SoC第一个工程

⑤在该工程的输出文件夹内,找到sof_to_rbf.bat文件,双击,soc_system.rbf文件更新,修改日期会变成当前时间。将soc_system.rbf和soc_system.dtb文件复制粘贴到SD卡分出来的最后一个区,替换原来的文件。由于编译制作镜像需要花费很长时间,所以我们跳过前面的步骤,直接使用现成的sdcard.img,烧录到SD卡中。在新弹出的窗口中找到sdcard.img文件,此时,烧录地址会自动匹配到SD卡。右键点击新增的连接,输入开发板的用户名密码,连接成功。......

2022-07-18 18:16:50 1032 7

原创 基于FPGA的异步FIFO

FIFO( First Input First Output)指先进先出。其结构图如下:异步FIFO读写操作是在两个不同的时钟域下完成的,FIFO需要比较读写地址指针的数值才能给出空满指示信号,这就涉及到了信号的跨时钟域处理。对于单比特信号,通常采用一位同步器进行同步,也就是打两拍操作,但对于多比特数据,如果也进行打两拍操作,由于数据各比特变化时间不一致,就会产生错误的情况,为了解决这个问题,我们通常使用格雷码进行异步FIFO读写地址的同步。格雷码是什么?在一组数的编码中,若任意两个相邻的代码只有一

2022-07-13 19:49:32 1713 1

原创 FPGA驱动SDRAM

实现PC向FPGA发送数据保存进SDRAM,再通过按键控制读出SDRAM中的数据发送给PC端,实现数据回环。同步动态随机存取内存(synchronous dynamic random-access memory,简称SDRAM)是有一个同步接口的动态随机存取内存(DRAM)。本次实验使用的SDRAM芯片(HY57V561620FTP)内部被分成了4个bank,每个bank有64Mbit大小,SDRAM与输入时钟同步,时钟信号上升沿采样,行列地址线复用,数据线输入输出复用。读写访问是突发的,突发(Burst

2022-07-11 20:55:48 2378 1

原创 FPGA实现滤波器

利用FPGA实现下图结构:相关数据文件:链接:https://pan.baidu.com/s/1qMWcC5Tha1ynTTour144oA?pwd=1234提取码:1234根据PDF的内容梳理流程如下:注意:这里的数据存在正负情况,定义变量的时候要加上signed。三.仿真仿真文件:仿真结果:可以看到输出信号dout变得很平滑。...

2022-07-11 19:39:38 5410 9

原创 【FPGA】串口以命令控制温度采集

能够通过串口向FPGA芯片发送命令,以命令控制FPGA接收温度传感器数据,以及串口发送和数码管显示。该项目以前面学习的ds18b20温度传感器为例。①温度传感器返回数据是二进制的形式,为了方便后续处理,我们需要先将数据转换为bcd码的形式。在这里,我们采用的是8421BCD码,也就是采用4位二进制的前十组代码表示0~9。方法是:将要转的二进制值向左移动,一旦表示一位十进制数的4位二进制的值大于4,就加上3。具体如下:②串口以ASCII的形式收发数据,所以我们需要两个模块用于ASCII码和16进制数据转

2022-06-24 21:42:03 1056 1

原创 嵌入式Linux系统相关练习

C 标准库的 assert.h头文件提供了一个名为 assert 的宏,它可用于验证程序做出的假设,并在假设为假时输出诊断消息。已定义的宏 assert 指向另一个宏 NDEBUG,宏 NDEBUG 不是 的一部分。如果已在引用 的源文件中定义 NDEBUG 为宏名称,则 assert 宏的定义如下:二.树莓派执行.c文件使用putty远程连接树莓派,使用命令nano helloworld.c命令创建文件为该文件添加一下代码:使用gcc工具编译,运行命......

2022-06-18 16:43:06 372

原创 VNC Viewer方式的远程连接树莓派

①树莓派镜像https://www.raspberrypi.org/downloads/raspbian/进入官网后,点击查看所有可下载选项:选择32bit带图形化桌面的版本:②格式化工具本次使用SDCardFormatterv5,可以选择其他工具③镜像烧录工具本次使用Raspberry Pi,可以选择其他工具④VNC Viewerhttps://www.realvnc.com/en/connect/download/viewer/⑤远程工具本次使用putty,可以选择其他工具h

2022-06-18 09:26:58 2221

原创 【FPGA】IIC协议的应用实现

IIC是一种两线式串行总线,由数据线SDA和时钟线SCL构成通信线路,既可用于发送数据,也可接受数据,是一种半双工通信协议。总线上的主设备和从设备之间以字节为单位进行双向的数据传输。多用于主机和从机在数据量不大且传输距离短的场合下的主从通信。主机启动总线,并产生时钟用于传送数据,此时任何接收数据的器件均被认为是从机。I2C器件一般采用开漏结构与总线相连,所以I2C_SCL和I2C_SDA均需接上拉电阻,也正因此,当总线空闲时,这两条线路都处于高电平状态,当连到总线上的任一器件输出低电平,都将使总线拉低。

2022-06-15 18:05:26 1614

原创 基于FPGA的ds18b20温度传感器使用

ds18b20是常用的数字温度传感器,具有体积小,硬件开销低,抗干扰能力强,精度高的特点。ds18b20单线数字温度传感器具有独特的优点:ds18b20内部主要有四部分组成:64位ROM,温度传感器,非挥发的温度报警触发器TH和TL,配置寄存器。64位ROMROM中的64位序列号是出厂前被光刻好的,它可以看作是该DS18B20的地址序列码,每个DS18B20的64位序列号均不相同。64位ROM的排的循环冗余校验码。ROM的作用是使每一个DS18B20都各不相同,这样就可以实现一根总线上挂接多个DS

2022-06-08 16:58:53 7569 5

原创 Quartus基本IP核调用及仿真

文章目录一.PLLPLL介绍PLL的IP核调用PLL仿真二.RAMRAM介绍RAM的IP核调用(单时钟)RAM仿真(单时钟)RAM的IP核调用(双时钟)RAM仿真(双时钟)三.FIFOFIFO介绍FIFO的IP核调用(读写共用时钟)FIFO仿真(读写共用时钟)FIFO的IP核调用(读50MHZ时钟,写时钟100MHZ时钟)FIFO仿真(读50MHZ时钟,写时钟100MHZ时钟)一.PLLPLL介绍PLL全称Phase Locked Loop,也就是锁相环,是一种反馈控制电路。PLL对时钟网络进行系统级

2022-05-24 23:05:51 10409 1

原创 【FPGA实验】基于DE2-115平台的VGA显示

文章目录一.VGA介绍二.VGA显示字符参考链接:一.VGA介绍VGA(Video Graphics Array)视频图形阵列是IBM于1987年提出的一个使用模拟信号的电脑显示标准。VGA接口即电脑采用VGA标准输出数据的专用接口。VGA接口共有15针,分成3排,每排5个孔,显卡上应用最为广泛的接口类型,绝大多数显卡都带有此种接口。它传输红、绿、蓝模拟信号以及同步信号(水平和垂直信号)。VGA接口是一种D型接口,上面共有15针孔,分成三排,每排五个。 其中,除了2根NC(Not Connect)信号

2022-05-21 16:46:59 3529 5

原创 【FPGA入门十二】1bit全加器实现计算8位二进制数中1的个数

文章目录一.实验任务二.设计思路三.代码实现①设计按键消抖模块②设计按键输入8bit二进制数③设计计算8bit二进制数中1的个数模块④设计数码管显示模块⑤顶层模块⑥设计仿真文件⑦仿真波形⑧上板验证四.总结一.实验任务使用1 bit 全加器,计算一个8 bit 数中 1 的个数,并将计算结果通过数码管显示。二.设计思路按键KEY2和KEY3经过消抖后,作为信号0,1的输入,经过八次按下按键后,通过位拼接操作得到一个8位寄存器存储的2进制数,同时用一个计数器记录按键按下的次数,当按下8次后,会有一个1

2022-05-21 15:01:25 1859

原创 【FPGA实验】基于DE2-115平台的数码管实验2

文章目录一.试验任务二.用移位寄存器与FSM实现“HELLO”的循环显示①设计按键消抖模块②设计数码管显示模块③设计顶层模块④设计仿真文件⑤查看RTL门级电路⑥仿真波形三.①设计计数器模块②设计数码管显示模块③设计顶层模块④编写仿真文件⑤查看RTL门级电路⑥仿真波形四.移动速度可控的“HELLO”的自动循环显示①②③④⑤⑥一.试验任务1.用移位寄存器与FSM实现“HELLO”的循环显示本练习使用移位寄存器并结合FSM实现DE2-115平台上 的“HELLO”循环显示。在HEX7~HEX0上循环显示

2022-05-12 20:57:56 1755

原创 【FPGA实验】基于DE2-115平台的数码管实验1

文章目录一.实验任务二.闪烁数码管①设计1s计时器模块②设计数码管模块③设计顶层模块④查看RTL门级电路⑤硬件测试三.循环显示的“HELLO”①设计计数器模块②设计数码管显示模块③设计顶层模块④查看RTL门级电路⑤硬件测试一.实验任务1.闪烁数码管在HEX0上连续循环地显示数字0~9,每秒刷新一次显 示。使用计数器产生1 s的时间间隔,这个计数器的时钟由 DE2-115平台上的50MHz时钟提供。注意:这个设计中只允 许使用DE2-115平台上的50 MHz时钟,而不允许使用其他时钟,并保证所有

2022-05-11 21:40:10 3369 1

原创 【FPGA入门十一】自动售货机改进

文章目录一.项目功能二.小组分工三.设计思路四.流程图五.代码实现①按键消抖②LED状态选择③蜂鸣器模块④数码管位选信号选择⑤数码管段选信号选择⑥售货机按键选择处理⑦音符彩灯实现⑧音符选择⑨顶层文件六.测试①RTL门级电路查看②引脚绑定③硬件测试七.总结一.项目功能1.默认只接收0.5元、1元投币。⒉货物有4种可以选择,价格分别为0.5,1.5,2.4,3元。3.满足当前选择的商品价格后自动出货,出货动作用4个LED做跑马灯(闪烁2s)表示。4.超过前选择的商品价格之后,自动出货并找零,动作用4

2022-05-09 12:25:06 1350 1

原创 【FPGA入门十】串口通信

文章目录一.串口通信二.串口发送模块①文件方式保存常量②串口发送模块③编译④查看RTL门级电路⑤设计仿真文件⑥仿真三.串口接收模块①串口接收模块②编译③查看RTL门级电路④设计仿真文件⑤仿真四.总结一.串口通信什么是串口?LSB:低位 MSB:高位二.串口发送模块①文件方式保存常量常用波特率新建一个文件保存uart_parameter.v`define CLOCK 50_000_000`define BAUD_9600 `CLOCK/9600 - 1 //发送1比特的时间`defi

2022-05-07 20:46:52 875

原创 【FPGA入门九】状态机实验

文章目录一.实验任务二.实验过程1.测试过程状态机①新建工程②设计计时器模块Verilog HDL文件③设计状态机切换模块Verilog HDL文件④设计顶层模块Verilog HDL文件⑤编译⑥查看RTL门级电路2.检测10010串的状态机①状态图②新建工程③设计按键消抖模块Verilog HDL文件④设计状态机模块Verilog HDL文件⑤设计顶层模块Verilog HDL文件⑥编译⑦查看RTL门级电路⑧绑定引脚⑨硬件测试三.总结一.实验任务1、根据以下描述功能用verilog编写一段代码,并用

2022-05-02 15:21:16 1689 1

原创 【FPGA入门八】自动售货机

文章目录一.任务二.工程项目Verilog HDL编写①设计按键消抖模块②设计数码管位选驱动③设计数码管显示模块④设计售货机购物模块⑤设计顶层模块三.总结一.任务功能完整描述:KEY4:开关机按键,复位时,默认是关机状态,数码管和LED灯均不亮,同时蜂鸣器响,其余按键按下无效。KEY3:在开机状态时,投币1元KEY2:在开机状态时,投币为0.5元KEY1:当投币但少于货物的价格时,取消订单,数码管显示为0.0,同时LED灯实现跑马灯2s然后熄灭当投币为2.5元时,刚好能够购买货物,4个LE

2022-04-30 18:39:26 5208 6

原创 【FPGA入门七】电子锁

文章目录一.任务二.工程项目Verilog HDL编写①设计按键消抖模块②设计密码锁模块③设计顶层模块代码改进①设计按键消抖模块②设计密码锁模块③设计顶层模块三.总结一.任务Cyclone IV开发板上有四个按键,每个按键通过消抖后作为密码按键KEY1,KEY2,KEY3,KEY4分别代表密码1,2,3,4。按下每个按键4个led灯做相应的动作,只有按照正确的密码1423输入,4个led灯才同时以20ms的间隔闪烁1s时间(同时闪2次),表示开锁成功。设计思路:二.工程项目Verilog HDL

2022-04-30 17:56:51 2370 1

原创 【FPGA入门六】蜂鸣器播放两只老虎

文章目录一.音频音符知识二.任务三.工程项目Verilog HDL编写①设计音频选择文件②设计音频产生文件③设计顶层文件四.总结五.参考链接一.音频音符知识不同的音符振动频率不同,周期T=1/频率f根据上图可以计算出音符振动的周期,单位微秒。Cyclone IV开发板的晶振是50MHz,振动一次是20纳秒,使用周期时间除以20纳秒得出音符振动的次数。比如高音的DO计算方式如下公式所示。二.任务利用蜂鸣器的不同振动频率播放两只老虎。每个音符持续时间:半拍300ms,一拍500ms三.工程项

2022-04-30 16:54:46 3044

原创 【FPGA入门五】数码管静态显示

文章目录一.数码管二.数码管静态显示①数码管全部显示,以0.5s为间隔依次显示0~F②修改上述代码,数码管轮流亮,并以0.5s为间隔依次显示1~6③继续修改上述代码,以0.5s为间隔依次显示1~6,显示几就亮几个数码管三.总结一.数码管数码管就是由8个LED灯组成的,通过让部分LED灯亮显示相应数字我的开发板是共阳极类型,给低电平发光数字真值表:段选信号,位选信号:二.数码管静态显示①数码管全部显示,以0.5s为间隔依次显示0~F新建计时模块time_count.vmodule t

2022-04-30 16:32:38 4428 3

原创 【FPGA入门四】按键消抖+蜂鸣器

文章目录一.按键消抖解决方法一:解决方法二:Verilog HDL编写二.按键消抖+蜂鸣器三.总结一.按键消抖解决方法一:缺点:抖动时间不确定,采集信号不一定稳定解决方法二:Verilog HDL编写key_debounce.vmodule key_debounce( input wire clk, input wire rst_n, input wire key, output reg flag, //判断抖动是否消除的标志信号,

2022-04-30 14:17:34 900

原创 【FPGA入门三】按键+LED灯

文章目录一.跑马灯二.按键+LED灯实验任务Verilog HDL文件编写编译查看RTL门级电路测试文件编写编译仿真硬件测试三.总结一.跑马灯实现一个简单的跑马灯module horse_led( input wire clk_50M, //1秒震荡50_000_000次,周期是20ns input wire rst_n, //1s = 1_000_000_000ns,震荡一次是20bs output wire[3:0] led

2022-04-30 14:00:10 1409

原创 【FPGA入门二】状态机+LED流水灯

文章目录一.状态机二.状态机+LED流水灯一段式写法两段式写法三段式写法三.总结一.状态机状态机类型:状态机写法:二.状态机+LED流水灯一段式写法一段式:module fsm_led( input clk,//时钟 input rst_n,//复位信号 output reg [3:0] led//4个LED灯);parameter T = 50_000_000; //变量reg [1:0] cstate;

2022-04-30 13:16:39 2674

原创 【FPGA入门一】一个简单的LED流水灯

文章目录一.FPGA简介相关名词解释硬件资源FPGA开发流程二.编写一个简单的流水灯项目Quartus软件介绍:新建工程编写Verilog HDL文件查看RTL级门电路仿真测试硬件测试三.总结一.FPGA简介FPGA(Field Programmable Gate Array)是在PAL(可编程阵列逻辑)、GAL(通用阵列逻辑)等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。与

2022-04-30 11:28:30 6189

原创 【Quartus II】基于 NIOSII 软核的流水灯实验

文章目录一.基于 NIOSII 软核的流水灯实验1、实验目的*2、实验设备3、实验内容4、实验原理5、实验步骤1.硬件设计2.软件设计3.运行项目二.总结三.参考链接一.基于 NIOSII 软核的流水灯实验1、实验目的*(1)学习 Quartus Prime 、Platform Designer、Nios II SBT 的基本操作;(2)初步了解 SOPC的开发流程,基本掌握 Nios II 软核的定制方法;(3)掌握 Nios II 软件的开发流程,软件的基本调式方法。2、实验设备硬件

2022-04-08 19:08:33 3212 2

原创 【Quartus II】VHDL组合逻辑-时序逻辑练习

文章目录一.在Quartus II中用原理图输入法设计4位加法器1.打开1位全加器项目文件2.新建4位全加器原理图文件3.新建波形文件4.引脚绑定及测试二.应用QuartusII 完成基本组合电路设计①利用QuartusⅡ完成2选1多路选择器1.新建工程2.创建VHDL文件3.编译4.功能仿真5.查看RTL电路和门电路6.硬件测试②双2选1多路选择器1.新建VHDL文件2.编译3.新建波形图4.查看RTL电路及门级电路5.硬件测试三.应用QuartusII 完成基本时序电路的设计①设计触发器1.新建工程2.

2022-03-25 20:32:44 4053

原创 【Quartus II】D触发器

文章目录一.门电路设计D触发器,进行仿真验证1.新建工程2.创建原理图文件3.创建vwm格式波形文件二.调用D触发器,进行仿真验证1.新建工程2.创建方框文件3.编译原理图文件,查看硬件电路图4.创建vwm格式波形文件及时序仿真三.Verilog语言写一个D触发器,进行仿真验证1.新建工程2.新建Verilog文件3.查看生成的电路图4.利用Verilog语言编写代码进行仿真测试四.总结五.参考链接D触发器​ D触发器是一个具有记忆功能的,具有两个稳定状态的信息存储器件,是构成多种时序电

2022-03-12 16:15:07 4972

原创 【Quartus II】全加器

文章目录一.原理图输入实现全加器1.新建工程2.新建半加器原理图文件3.新建半加器波形文件4.新建全加器原理图文件5.新建全加器波形文件6.硬件绑定及下载测试二.Verilog语言实现全加器1.新建工程2.新建Verilog文件3.新建波形文件三.总结四.参考链接一.原理图输入实现全加器1.新建工程点击File->New Project Wizard…选择开发板选择仿真软件2.新建半加器原理图文件点击File->New->Block Diagram/Schematic

2022-03-12 15:53:11 8954

原创 Email-FTP-RTSP协议实践研究

文章目录一.Email应用编程1.SMTP协议2.POP3协议3.IMAP协议4.telnet登录163/QQ发送邮件5.命令登录POP服务阅读邮件6.FTP协议7.HTTP1.1协议8.实践:在Ubuntu系统上安装一个Ftpd服务(搭建FTP服务)。用telnet 手工方式 登录ftp服务,上传一个图片文件或压缩包文件;然后,再手工用分片下载的方式,将此文件分片下载到本地(多个小文件),再将这几个小文件合并为1个大文件(无须编程,用copy命令即可实现),看是否与原文件一样大小,是否能正常打开。9.RT

2021-12-22 14:18:20 883

原创 STM32+W5500以太网模块

文章目录一.W5500以太网模块介绍二.模块排针功能表三.调试方法四.学习STM32+W5500+modbus协议编程五.学习STM32+W5500+httpd协议编程六.参考链接一.W5500以太网模块介绍Niren_W5500模块是一款基于WIZnet W5500芯片的以太网模块,是泥人电子继 Niren_W5100模块后设计的一块性能更好、性价比更高的以太网模块。模块集成硬件化TCP/IP协议:内部32K字节存储器作TX/RX缓存:支持10/100Mbps的传输速率;支持8个独立端口同时运行;同

2021-12-15 15:02:50 14610 3

原创 AltiumDesigner和Clion工具学习

文章目录一.使用Altium Designer软件完成STM32+W5500的系统电路原理图设计1.安装Altium Designer2.元件库下载3.绘制stm32的最小系统的电路原理图(使用现成的元件库)4.STM32+W5500的系统电路原理图设计二.使用Clion完成STM32F103点亮LED1.安装配置arm-none-eabi-gcc2.安装MinGW3.安装配置OpenOCD4.完成Clion相关配置5.实现LED点灯程序三.参考链接一.使用Altium Designer软件完成STM32

2021-12-14 20:49:24 823

原创 STM32的RT-Thread-Nano移植

文章目录一.CubeMX添加RT-Thread操作系统组件二.CubeMX新建工程三.创建任务四.参考链接一.CubeMX添加RT-Thread操作系统组件获取RT-Thread Nano软件包,指路:https://www.rt-thread.org/download/cube/RealThread.RT-Thread.pdsc安装RT-Thread参考:https://blog.csdn.net/qq_36075612/article/details/107309750二.CubeMX新建工

2021-12-12 18:55:39 1273 3

原创 学习OpenCV+Python

文章目录一.学习资料二.Section11.basic_functions.py2.contours.py3.draw.py4.read.py5.thresh.py6.transformations.py三.Section21.bitwise.py2.blurring.py3.colour_spaces.py4.gradients.py5.histogram.py6.masking.py7.rescale_resize.py8.splitmerge.py四.总结一.学习资料链接:https://pan.

2021-12-08 16:52:14 360

原创 【python】基于SVM算法的人脸表情识别

文章目录一.实验准备二.图片预处理三.Dlib提取人脸特征四.微笑识别1.建立模型2.图片检测模型3.相机检测模型五.总结六.参考链接一.实验准备下载实验所需包pip install scikit-imagepip install playsoundpip install pandaspip install sklearn二.图片预处理这里图片的预处理包括人脸识别裁剪,数据集划分,具体操作和https://blog.csdn.net/qq_45659777/article/detai

2021-12-08 00:19:55 2531 1

原创 springboot和netty整合的聊天室

文章目录一.新建工程二.各部分代码三.测试四.参考链接一.新建工程添加依赖二.各部分代码NettychathatApplication:package com.example.nettychat;import org.springframework.boot.SpringApplication;import org.springframework.boot.autoconfigure.SpringBootApplication;import org.springframework.co

2021-12-05 17:14:04 736

原创 分别基于IO、NIO、Netty的Java网络程序

文章目录一.Netty入门1.传统IO与NIO1.传统IO特点2.NIO的特点3.NIO和IO的主要区别3.1面向流与面向缓冲3.2阻塞与非阻塞IO3.3选择器(Selectors)4.NIO和IO如何影响应用程序的设计4.1API调用4.2数据处理二.基于IO的java网络程序三.基于NIO的java网络程序四.基于Netty的java网络程序五.总结六.参考链接一.Netty入门1.传统IO与NIONIO即New IO,这个库是在JDK1.4中才引入的。NIO和IO有相同的作用和目的,但实现方式不

2021-12-05 16:10:42 243

原创 Python人脸识别微笑检测

文章目录一.实验准备二.图片预处理三.划分数据集四.CNN提取人脸识别笑脸和非笑脸1.创建模型2.归一化处理3.数据增强4.创建网络5.单张图片测试6.摄像头实时测试五.Dlib提取人脸特征识别笑脸和非笑脸六.参考链接:一.实验准备环境搭建pip install tensorflow==1.2.0pip install keras==2.0.6pip install dlib==19.6.1pip install h5py==2.10如果是新建虚拟环境,还需安装以下包pip inst

2021-12-05 14:11:27 17739 18

原创 动态网页爬虫

文章目录一.在Anaconda的虚拟环境下安装selenium 和webdrive等必要库1.虚拟环境的主要操作命令1.创建虚拟环境2.查看已经创建的虚拟环境3.激活虚拟环境4.退出虚拟环境5.删除虚拟环境2.安装本次实验所需安装包二.对百度进行自动化测试1.打开浏览器,进入百度搜索界面三.爬取指定网页[http://quotes.toscrape.com/js/](http://quotes.toscrape.com/js/)的名言四.Selenium:requests+Selenum爬取京东图书五.总结

2021-12-04 21:55:55 7046

原创 数字图像与机器视觉基础2

文章目录一.OpenCV+Python车牌字符分割二.代码实现关键代码完整代码:处理效果:三.参考链接一.OpenCV+Python车牌字符分割车牌号检测大致分为以下四个部分:1.车辆图像获取2.车牌定位3.车牌字符分割4.车牌字符识别处理原理:车牌定位需要用到的是图片二值化为黑白后进canny边缘检测后多次进行开运算与闭运算用于消除小块的区域,保留大块的区域,后用cv2.rectangle选取矩形框,从而定位车牌位置车牌字符的分割前需要准备的是只保留车牌部分,将其他部分均变为黑色背景

2021-12-04 15:10:38 400

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除