FPGA学习汇总(四)----计数器(定时取反led)

如何创建工程 新建一个测试平台 如何模拟 如何编译 如何配置引脚 如何导入程序 前面文章都已经介绍过了 这里不赘述了 大部分朋友已经学会了 很简单 如果又忘记了 可以看前面的文章复习一下

实验目的:

做一个2s为周期,每1s取反一次的led 1s亮 1s灭 计数器为时间1s

思路

因为我们的时钟为20ns一周期 所以我们需要计数50000000个个数才可以

二进制寄存器位宽为26 也就是 0到25 因为0是第一个数

 代码

module f410(
    clk,
    rst_n,
    led
);
input clk;
input rst_n;
output reg led;

reg [25:0]cnt; 
//计数部分
always@(posedge clk or negedge rst_n)// 时钟上升沿 复位下降沿时 则
begin
     if(!rst_n)//复位
    cnt<=0;//计数归零 (非阻塞赋值)
//else if(cnt==26'd49_999) //测试平台的时候用 因为我们测试只需要验证正确性 所以时间可以取短一些
else if(cnt==26'd49_999_999)//计数满了
cnt<=0;//归零
else //计数没满 没复位
cnt<=cnt+1;//计数加一 (计49999999个数 一个数 2
  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
FPGA学习专题-DAC的使用 DAC,即数字模拟转换器(Digital-to-Analog Converter),是将数字信号转换为模拟信号的一种电子设备。在FPGA的应用中,DAC常常用于将数字信号转换为模拟信号,实现模拟输出功能。 在FPGA中使用DAC需要以下几个步骤: 1. 选择DAC芯片:根据实际需求选择适合的DAC芯片。常见的DAC芯片有很多种,包括R-2R网络型DAC、串行型DAC、并行型DAC等,根据不同的应用场景选择合适的芯片。 2. 连接硬件:将FPGA与DAC芯片进行连接。通常使用SPI、I2C、并行接口等方式进行通信。根据具体的芯片和FPGA板卡,进行正确的引脚连接。 3. 配置FPGA:在FPGA的设计中,需要配置相应的逻辑电路和接口电路,以实现与DAC芯片的通信。这涉及到FPGA的开发工具和编程语言,例如使用Verilog或VHDL进行设计。 4. 驱动DAC芯片:通过FPGA发送数字信号给DAC芯片,驱动其输出模拟信号。这需要按照DAC芯片的通信规则和协议进行数据传输和控制。 5. 调试和验证:完成FPGA与DAC的连接和配置后,需要进行调试和验证。可以通过示波器或其他测试仪器,检测DAC输出的模拟信号是否符合预期。 需要注意的是,DAC的使用需要了解具体的芯片规格和通信协议,以及FPGA的开发工具和编程语言。同时,对数字信号和模拟信号的特性也需要有一定的了解。 希望以上对你有所帮助,如果还有其他问题,可以继续提问。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

辽G.默语

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值