FPGA学习汇总(四)----计数器(定时取反led)

本文介绍了使用FPGA创建一个2秒周期的计数器,每1秒LED状态取反,详细讲解了设计思路、代码实现、模拟验证以及配置引脚的过程。
摘要由CSDN通过智能技术生成

如何创建工程 新建一个测试平台 如何模拟 如何编译 如何配置引脚 如何导入程序 前面文章都已经介绍过了 这里不赘述了 大部分朋友已经学会了 很简单 如果又忘记了 可以看前面的文章复习一下

实验目的:

做一个2s为周期,每1s取反一次的led 1s亮 1s灭 计数器为时间1s

思路

因为我们的时钟为20ns一周期 所以我们需要计数50000000个个数才可以

二进制寄存器位宽为26 也就是 0到25 因为0是第一个数

 代码

module f410(
    clk,
    rst_n,
    led
);
input clk;
input rst_n;
output reg led;

reg [25:0]cnt; 
//计数部分
always@(posedge clk or negedge rst_n)// 时钟上升沿 复位下降沿时 则
begin
     if(!rst_n)//复位
    cnt<=0;//计数归零 (非阻塞赋值)
//else if(cnt==26'd49_999) //测试平台的时候用 因为我们测试只需要验证正确性 所以时间可以取短一些
else if(cnt==26'd49_999_999)//计数满了
cnt<=0;//归零
else //计数没满 没复位
cnt<=cnt+1;//计数加一 (计49999999个数 一个数 20ns 也就是1s)

end
//led部分
always@(posedge clk or negedge rst_n)

begin 
     if(!rst_n)//复位
    led<=1;//不亮
  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

辽G.默语

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值