PFGA选择器

提示:本文章只是本人的学习笔记,如有错误评论区可留言。

二选一选择器,两个输入(a和b),一个输出

通过选择信号来判断输出是a还是b

编写程序如下:

​
module  mux_2to1
  (
  input     a,
  input     b,
  input     sel,
  
  output   reg out
  );

always @(*)
  if (sel == 1'b0)
    out = a;
  else
    out = b;
    
endmodule

​

仿真程序如下:

`timescale 1ns / 1ns
module  mux_2to1_tb;
  reg   a;
  reg   b;
  reg  sel;
  wire  out;
  
mux_2to1  mux_2to1_tb
  (
  .a    (a),
  .b    (b),
  .sel  (sel),
  .out  (out)
  );
  
initial
  begin
    a   <= 1'b0;
    b   <= 1'b0;
    sel <= 1'b0;
  end

always  #10 a   <= {$random} % 2;
always  #10 b   <= {$random} % 2;
always  #10 sel <= {$random} % 2;
endmodule

仿真波形图:

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值