quartus工具篇——fifo ip核

quartus工具篇——fifo ip核

1、简介

FPGA 中的 FIFO(First-In, First-Out)是一种常见的数据缓冲器,用于在不同的时钟域之间进行数据传输。FIFO 可以暂存一定数量的数据,并支持并行读取和写入操作,同时保持先进先出的数据顺序。

FIFO 在 FPGA 中的应用非常广泛,特别是在需要处理异步数据交换的场景中。以下是一些 FIFO 的基本特性和特点:

  1. 数据存储:FIFO 由一组寄存器或存储单元组成,可以暂存一定数量的数据。每个存储单元可以存储一个数据元素(如字节、字等)。存储单元之间按照 FIFO 原则连接,确保数据的顺序性。
  2. 读写指针:FIFO 使用读写指针来跟踪当前读取和写入的位置,以及可用空间和已存储数据的数量。读指针指示下一个要读取的数据位置,写指针指示下一个要写入的数据位置。读写指针根据读写操作递增,并循环回到 FIFO 的起始位置。
  3. 同步与异步操作:FIFO 可以在不同的时钟域之间进行数据传输,实现异步数据交换。它能够解决数据产生速率与数据消费速率不匹配时的数据处理问题。
  4. 深度与宽度:FIFO 的深度表示存储单元的数量,决定了可以存储的数据量。宽度表示每个存储单元能够存储的数据位数。深度和宽度取决于具体的设计需求。
  5. 读写接口:FIFO 通过独立的读取和写入接口与其他电路进行通信。读取接口用于从 FIFO 中读取数据,写入接口用于向 FIFO 中写入数据。
  6. 数据管理:FIFO 提供了一些额外的功能,如读写标志、满/空状态标志等,以便有效地管理数据的读写操作。

FIFO 可以在许多应用中发挥重要作用,例如数据缓存、流水线数据传输、数据帧同步等。借助 FPGA 的灵活性,我们可以根据具体的需求和设计约束来实现定制化的 FIFO。

同步fifo与异步fifo的比较

  1. 同步 FIFO: 同步 FIFO 在读取和写入时都使用相同的时钟信号,数据传输是在同一时钟域下进行的。读取和写入操作在时钟的上升沿或下降沿进行,具有明确定义的时序关系。同步 FIFO 的特点包括:
  • 时序简单:由于读写操作在同一个时钟信号下进行,不涉及时序转换,因此设计相对较简单。
  • 数据稳定性:由于时钟同步,对于数据的读取和写入,保证了数据的稳定性和可靠性。
  • 可靠性高:同步 FIFO 较为可靠,能够通过时钟握手机制来实现有效的数据传输。
  1. 异步 FIFO: 异步 FIFO 在读取和写入时使用不同的时钟信号,数据传输是跨越不同时钟域的。由于存在时钟领域之间的不同步,需要引入额外的电路来处理时钟缓冲和数据同步等问题。异步 FIFO 的特点包括:
  • 处理异步时序:由于读写操作在不同的时钟域下进行,需要处理异步时序问题,确保数据的正确传输。这通常需要使用双缓冲技术、同步器等方法来实现。
  • 时序复杂:异步 FIFO 的设计更为复杂,需要考虑数据传输的稳定性、时序约束和互锁等问题。对于异步 FIFO 的设计,需要仔细分析时序关系,并采取相应的措施确保正确性。
  • 适应异步系统:异步 FIFO 在跨越不同时钟域的系统中发挥重要作用,可以解决异步数据交换的问题,使得不同部分之间能够以不同的速率进行数据传输。

在选择同步 FIFO 还是异步 FIFO 时,需要根据具体的设计需求和系统要求进行权衡。如果时钟同步性较好且时序要求较低,同步 FIFO 可能是一个更简单和可靠的选择。而在异步时序较为复杂的情况下,异步 FIFO 可以提供解决方案

2、同步fifo ip核配置

2.1、quartus配置fifo(同步)

在quartus右上角搜索fifo,选择文件夹创建ip核

image-20230808154651052

image-20230808154702498

进入fifo后,下图是一些选项的简单介绍,我选择的是同步fifo,数据传输位宽位8bit,总长度位16个words

image-20230808154718406

进入到下面,会让你根据需求创建信号,这些信号的意思分别为

  • full:fifo内部存储几乎要满时
  • empty:fifo内存存储几乎要空时
  • usedw:fifo内部已经使用的字节大小
  • almost full:fifo内部存储几乎要满时
  • almost empty:fifo内部存储几乎要空时
  • Asynchronous clear:异步清零
  • Synchronous clear:同步清零

我这里只做简单的,所以只勾选了前三个信号和异步复位信号,后面的信号可以根据自己的需求进行勾选

image-20230808152741269

下面勾选工作模式,我这里演示两种不同工作模式的区别,选择正常模式进行配置:

选择show ahead模式进行配置

image-20230808152546221

两种方式后续内容完全相同,可完全按照下列步骤配置

之后选择优化选项,勾画no即可,详情可参考:详解 altera 的同步 FIFO IP配置及使用 - 知乎 (zhihu.com)

image-20230808154801378

最后生成inst文件,就完成了

image-20230808154819007

2.2、同步fifo仿真代码

下列书写一段仿真代码和顶层文件来观察两种模式的不同,以及同步fifo的工作原理

module top(
    input wire clk,
    input wire rst_n,
	input wire [7:0] data_sig_1,
	input wire rdreq_sig_1,
	input wire wrreq_sig_1,

	input wire [7:0] data_sig_2,
	input wire rdreq_sig_2,
	input wire wrreq_sig_2,

	output wire empty_sig_1,
	output wire empty_sig_2,
	output wire [3:0]usedw_sig_1,
	output wire [3:0]usedw_sig_2,

	output wire full_sig_1,
	output wire full_sig_2,

	output wire[7:0] q_sig_1,
	output wire[7:0] q_sig_2
	);

fifo1	fifo1_inst (
	.aclr ( ~rst_n ),
	.clock ( clk ),
	.data ( data_sig_1 ),
	.rdreq ( rdreq_sig_1 ),
	.wrreq ( wrreq_sig_1 ),
	.empty ( empty_sig_1 ),

	.full ( full_sig_1 ),
	.q ( q_sig_1 ),
	.usedw ( usedw_sig_1 )
	);

fifo2	fifo2_inst (
	.aclr ( ~rst_n ),
	.clock ( clk ),
	.data ( data_sig_2 ),
	.rdreq ( rdreq_sig_2 ),
	.wrreq ( wrreq_sig_2 ),
	.empty ( empty_sig_2 ),

	.full ( full_sig_2 ),
	.q ( q_sig_2 ),
	.usedw ( usedw_sig_2 )
	);
endmodule
`timescale 1ns/1ns
module moudle_tb();

reg clk;
reg rst_n;
reg[7:0] data_sig_1;
wire rdreq_sig_1;
wire wrreq_sig_1;

wire rdreq_sig_2;
wire wrreq_sig_2;

wire empty_sig_1;
wire empty_sig_2;
wire [3:0]usedw_sig_1;
wire [3:0]usedw_sig_2;

wire full_sig_1;
wire full_sig_2;

wire[7:0] q_sig_1;
wire[7:0] q_sig_2;

parameter SYS_CLK = 20;
always #(SYS_CLK/2) clk = ~clk;

initial begin
    clk=1'b1;
    rst_n=1'b0;
    
    #(2*SYS_CLK);
    rst_n=1'b1;
end
assign wrreq_sig_1 = ~full_sig_1;
assign wrreq_sig_2 = ~full_sig_2;
assign rdreq_sig_1 = ~empty_sig_1;
assign rdreq_sig_2 = ~empty_sig_2;
initial begin
	data_sig_1 = 8'd0;
	#(SYS_CLK*2)
	repeat(20)begin
		data_sig_1 = {$random}%64;
		
		#(SYS_CLK);
	end
	#(5*SYS_CLK);
	repeat(20)begin
		
		#(SYS_CLK);
	end
	#(5*SYS_CLK)
	$stop;
end

top inst_top
	(
		.clk         (clk),
		.rst_n       (rst_n),
		.data_sig_1  (data_sig_1),
		.rdreq_sig_1 (rdreq_sig_1),
		.wrreq_sig_1 (wrreq_sig_1),
		.data_sig_2  (data_sig_1),
		.rdreq_sig_2 (rdreq_sig_2),
		.wrreq_sig_2 (wrreq_sig_2),

		.empty_sig_1 (empty_sig_1),
		.empty_sig_2 (empty_sig_2),
		.usedw_sig_1 (usedw_sig_1),
		.usedw_sig_2 (usedw_sig_2),
		.full_sig_1  (full_sig_1),
		.full_sig_2  (full_sig_2),
		.q_sig_1     (q_sig_1),
		.q_sig_2     (q_sig_2)
	);


endmodule

2.3、同步fifo仿真结果

image-20230808153253105

从图中可以看出,正常工作模式和show ahead工作模式最大的区别就是,show ahead工作模式会在read读信号来的时候或者之前就会开始读取数据,而正常模式下需要在读信号来之后延时一个周期才会开始读取数据

3、异步fifo ip核配置

3.1异步fifo 配置

异步fifo几乎与同步fifo相同,主要是勾选的方式不同,异步fifo可以勾选不同的输出位宽,及输入的数据大小和读取的数据大小可以不一致,下面将进行延时

输出位宽和输入位宽相同:

image-20230808153717521

输入位宽和输出位宽不同:

image-20230808153750231

后面配置这两种模式是一致的,我就不做过多的演示

这里勾选默认即可

image-20230808154848972

选择勾选的信号,与同步fifo信号基本类似,我这里多勾选了几个,方便观察

image-20230808153837727

其他步骤全部默认,生成文件即可

image-20230808154922778

3.2异步fifo仿真代码

下列书写一段仿真代码和顶层文件来观察两种模式的不同,以及异步fifo的工作原理

module top_a(
    input wire clk,
    input wire clk_100m,
    input wire rst_n,
	input wire [7:0] data_sig_1,
	input wire rdreq_sig_1,
	input wire wrreq_sig_1,

	input wire [7:0] data_sig_2,
	input wire rdreq_sig_2,
	input wire wrreq_sig_2,

	output wire empty_sig_1,
	output wire empty_sig_2,
	output wire [3:0]usedw_sig_1,
	output wire [3:0]usedw_sig_2,
	output wire [3:0] usedw_sig_3,
  	output wire [3:0] usedw_sig_4,
	output wire full_sig_1,
	output wire full_sig_2,

	output wire[7:0] q_sig_1,
	output wire[7:0] q_sig_2
	);

  afifo1 afifo1_inst (
      .aclr (~rst_n),
      .data (data_sig_1),
      .rdclk(clk_100m),
      .rdreq(rdreq_sig_1),
      .wrclk(clk),
      .wrreq(wrreq_sig_1),

      .q(q_sig_1),
      .rdempty(empty_sig_1),
      .rdusedw(usedw_sig_1),
      .wrfull(full_sig_1),
      .wrusedw(usedw_sig_2)
  );


  afifo2 afifo2_inst (
      .aclr (~rst_n),
      .data (data_sig_1),
      .rdclk(clk_100m),
      .rdreq(rdreq_sig_2),
      .wrclk(clk),
      .wrreq(wrreq_sig_2),

      .q(q_sig_2),
      .rdempty(empty_sig_2),
      .rdusedw(usedw_sig_3),
      .wrfull(full_sig_2),
      .wrusedw(usedw_sig_4)
  );
endmodule
`timescale 1ns / 1ns
module afifo_tb ();

  reg clk;
  reg rst_n;
  reg clk_100m;

  parameter SYS_CLK = 20;
  always #(SYS_CLK / 2) clk = ~clk;
  always #(5) clk_100m = ~clk_100m;

  reg [7:0] data_sig_1;
  wire rdreq_sig_1;
  wire wrreq_sig_1;

  wire rdreq_sig_2;
  wire wrreq_sig_2;

  wire empty_sig_1;
  wire empty_sig_2;
  wire [3:0] usedw_sig_1;
  wire [3:0] usedw_sig_2;
  wire [3:0] usedw_sig_3;
  wire [3:0] usedw_sig_4;
  wire full_sig_1;
  wire full_sig_2;

  wire [7:0] q_sig_1;
  wire [7:0] q_sig_2;


  initial begin
    clk   = 1'b1;
    rst_n = 1'b0;
    clk_100m = 1'b0;
    #(2 * SYS_CLK);
    rst_n = 1'b1;
  end
  assign wrreq_sig_1 = ~full_sig_1;
  assign wrreq_sig_2 = ~full_sig_2;
  assign rdreq_sig_1 = ~empty_sig_1;
  assign rdreq_sig_2 = ~empty_sig_2;
  initial begin
    data_sig_1 = 8'd0;
    #(SYS_CLK * 2)
      repeat (20) begin
        data_sig_1 = {$random} % 64;

        #(SYS_CLK);
      end
    #(5 * SYS_CLK);
    repeat (20) begin

      #(SYS_CLK);
    end
    #(5 * SYS_CLK) $stop;
  end

  	top_a inst_top_a
		(
			.clk         (clk),
			.clk_100m    (clk_100m),
			.rst_n       (rst_n),
			.data_sig_1  (data_sig_1),
			.rdreq_sig_1 (rdreq_sig_1),
			.wrreq_sig_1 (wrreq_sig_1),
			.data_sig_2  (data_sig_2),
			.rdreq_sig_2 (rdreq_sig_2),
			.wrreq_sig_2 (wrreq_sig_2),
			.empty_sig_1 (empty_sig_1),
			.empty_sig_2 (empty_sig_2),
			.usedw_sig_1 (usedw_sig_1),
			.usedw_sig_2 (usedw_sig_2),
			.usedw_sig_3 (usedw_sig_3),
			.usedw_sig_4 (usedw_sig_4),
			.full_sig_1  (full_sig_1),
			.full_sig_2  (full_sig_2),
			.q_sig_1     (q_sig_1),
			.q_sig_2     (q_sig_2)
		);

endmodule

3.3仿真结果

image-20230808154213237

我们可以从图中看出,读写时钟大于写入时钟时,直至下一次数据写入,读取信号才会进行拉高,并重新进行读取。

在输出位选和输入位宽不同的时候,先输入的位宽会作为低位,后输入的位宽会作为高位。

4、总结

由于自身水平原因,不能对fifo做一个更为详细的介绍,只作为自己的一个学习记录,如果有需要,可以参考下面链接去进行学习

FPGA(异步FIFO原理及Verilog代码实现)_哔哩哔哩_bilibili

07_FIFO IP核的使用讲解_哔哩哔哩_bilibili

先入先出——FIFO的Verilog实现与仿真(一) - 知乎 (zhihu.com)

  • 2
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: Quartus FIFO IP核用于实现先进先出(FIFO)功能的设计。它可以存储一系列数据并保证按照它们被写入到FIFO中的顺序依次读取。Quartus FIFO IP核在许多数字系统设计中应用广泛,比如数据缓存、视频图像缓冲、有限状态机和数据流控制等方面。 ### 回答2: Quartus是一种FPGA设计软件,其可以方便地构建和设计FPGA芯片。在FPGA设计中有许多经典的模块,其中之一就是FIFO(First-In-First-Out,先进先出)模块。在Quartus中,我们可以调用FIFO IP核来创建一个FIFO模块。 第一步骤,我们需要打开Quartus软件,并创建一个FIFO IP核。打开Quartus,在工程管理器中右键单击Design,然后选择“New”,此时弹出“New Project Wizard”对话框,我们可以创建一个所需的工程管理器模板。 第二步是在新的工程中添加FIFO IP核。首先,单击工具栏中的“Library”按钮,然后选择“New Library”。接着,打开“IP Catalog”(IP目录),选择“On-chip Modules(OCMs)”,然后选择模块的类型,并将其拖到新的工程中。 第三步是设置FIFO IP核的属性。我们可以通过右键单击FIFO IP核,选择“Properties”(属性)来设置它的属性(如深度、宽度、时钟频率等)。在设置这些属性时,我们应该仔细考虑所需的FIFO特性以及目标FPGA的规格。 第四步骤涉及到设计代码。我们需要将FIFO IP核添加到设计通过添加相应例化代码。这将告诉Quartus将生成的IP核用于设计中。一旦代码添加完毕,我们就可以对FIFO进行仿真和验证。 最后一步是实现。Quartus会将设计实现到FPGA上,并将FIFO模块加载到FPGA中。一旦实现成功,我们就可以通过GUI或者其他接口与FIFO交互。 总之,我们可以通过调用QuartusFIFO IP核来轻松地创建FIFO模块。需要注意的是,在设置FIFO的属性和设计代码时需仔细考虑目标FPGA的规格,以避免出现错误和不兼容问题。 ### 回答3: Quartus是目前最流行的FPGA设计软件之一,它广泛应用于电子设计自动化(EDA)领域,在FPGA设计中拥有极高的知名度和使用率。其中,FIFO IP核Quartus中一个非常常用的模块,它用于实现FIFO缓冲器,其优势在于具有存储容量大、数据读写速度快等特点,可以有效地改善数据传输的稳定性和效率。 使用Quartus中的FIFO IP核并不复杂。首先,在Quartus软件的工程管理器中打开自己的工程,在该工程的设计中添加FIFO IP核模块。在添加FIFO IP核模块的时候,需要设置FIFO的各种参数,比如:存储深度、读写接口宽度、时钟速率等。这些参数可以根据实际需求进行调整,以满足具体项目的要求。 接着,将FIFO IP核模块的输入接口和输出接口正确地连接到其他模块中。一般来说,FIFO IP核模块的输入和输出都要连接到一个时钟模块,以确保数据的同步传输。此外,还需要将其他模块的数据信号连接到FIFO IP核模块的输入端口,以实现数据的写入;将FIFO IP核模块的输出端口连接到其他模块的数据输入端口,以实现数据的读取。在连接模块配置完成后,需要根据具体的项目要求,进行特定的数学计算和综合分析,以确保整个设计的正确性和可行性。 最后,实现对Quartus中的FIFO IP核的验证工作。验证是FIFO IP核FPGA系统中正常运行的必要条件,需要对设计的FIFO IP核模块进行仿真和调试等工作,以便最终得到FIFO的功效和最优的性能。当验证通过后,即可生成需要的bit文件,将其下载到目标FPGA芯片中,从而使整个设计工程得以运行及完美工作。 总而言之,在FIFO IP核的设计和调用过程中,需要考虑到多个因素,包括参数设置、模块连接、信号配置、仿真验证等,才能够成功实现系统设计的目标。因此,对于需要在FPGA系统中使用FIFO缓冲器的应用,建议根据实际需要,结合个人的应用场景以及项目需求进行全面综合考虑,并通过不断地实践和优化,实现最佳效果。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值