verilog生成块

   生成块作用是产生多个模块,相当于复制电路。 begin-end本身是没作用的,它只用来规范程序的执行顺序。假如有1个模块A,可以产生1位的伪随机码,但现在需要32位的伪随机码,可以用generate-for产生32个模块从而输出32位伪随机码。当然也可以在begin-end中例化32次模块A达到相同的目的。

1、生成块必须在模块中说明生成的实例范围,用关键字generate-endgenerate。

2、创建生成语句的方法:循环生成     条件生成     case生成

3、在仿真开始之前,仿真器会对生成块中的代码进行展平,将生成块转换为展开的代码,然后对展开的代码进行仿真。

4、关键词genvar用于声明生成变量,该变量只用在生成块中,在确立后的仿真代码中,该变量是不存在的。

5、localparam在本module是有效的,不能用于参数传递。

  • 2
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值