牛客网verilog VL9 使用子模块实现三输入数的大小比较

`timescale 1ns/1ns
module main_mod(
	input clk,
	input rst_n,
	input [7:0]a,
	input [7:0]b,
	input [7:0]c,
	
	output [7:0]d
);


wire [7:0]ab,ac;


sub_mod mod_ab(
.	clk		(clk),
.	rst_n	(rst_n),
.	data_a		(a),
.	data_b		(b),
.	data_c      (ab)
);

sub_mod mod_ac(
.	clk		(clk),
.	rst_n	(rst_n),
.	data_a		(a),
.	data_b		(c),
.	data_c       (ac)
);

sub_mod mod_abc(
.	clk		(clk),
.	rst_n	(rst_n),
.	data_a		(ab),
.	data_b		(ac),
.	data_c       (d)
);

endmodule




module sub_mod(
	input clk,
	input rst_n,
	input [7:0]data_a,
	input [7:0]data_b,
	
	output reg [7:0]data_c
);
always@(negedge rst_n)begin
	if(!rst_n)begin
		data_c<=0;
	end
end

always@(posedge clk)begin
	data_c <= data_a<data_b ? data_a : data_b ;
end
endmodule 

//模块调用模板
//注意 (data_c)reg 连线 (d)wire 
//	  (data_a)wire 连线 (a)wire
//本质是连线              需要的输出

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值