六、语法-状态机 | Verilog

1.什么是状态机?

有限状态机,(英语:Finite-state machine, FSM),又称有限状态自动机,简称状态机,是指在有限个状态之间按照一定规律转换的时序电路。

状态转换图:

 

2.状态机模型

状态寄存器由一组触发器组成,用来记忆状态机当前所处的状态,状态的改变只发生在时钟的跳边沿。

状态是否改变,如何改变,取决于组合逻辑F的住处,F是当前状态和输入信号的函数。

状态机的输出是由输出组合逻辑G提供的,G也是当前状态和输入信号的函数

状态机分为两种:

米利状态机

穆尔状态机

 

3.状态机设计

四段论:

①状态空间定义

②状态跳转

③下个状态判断

④各个状态下的动作

3.1状态空间定义:

建议使用第二种:

独热码:每个状态只有一个寄存器置位,译码逻辑简单。

3.2状态跳转(时序逻辑):

 

3.3下个状态判断(组合逻辑):

 

3.4各个状态下的动作

 

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

天神下凡一垂四

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值