半加器和1位全加器设计并在硬件上实践

实验目的:基于Quartus-II软件完成一个1位全加器的设计
实验环境:Quartus-II
前置实验:Quartus仿真实验
参考资料:课程资料

一、全加器介绍

全加器英语名称为full-adder,是用门电路实现两个二进制数相加并求出和的组合线路,称为一位全加器。一位全加器可以处理低位进位,并输出本位加法进位。多个一位全加器进行级联可以得到多位全加器。
度娘介绍

二、半加器设计

1.新建工程

所有要求都在工程信息里面。
在这里插入图片描述
在这里插入图片描述

2.半加器原理图

File——》New——》
在这里插入图片描述
在这里插入图片描述
需要的器件为:2个input,2个output,1个xnor,1个and2(图里的s应该是so,打错了)
在这里插入图片描述
编译,无错误后进行下一步。

3.将半加器设置为可调用元件

File——》Create/Update——》Creat Symbol Files for Current File
在这里插入图片描述

4.半加器仿真

File——》New——》VWF
在这里插入图片描述
然后照着前置实验的步骤做,这里不多展示了,直接上结果。
在这里插入图片描述
在这里插入图片描述

三、全加器设计

1.新建全加器原理图

在这里插入图片描述
添加半加器等元件。
在这里插入图片描述
最终原理图2个half_adder,1个or2,3个input,2个output
在这里插入图片描述
编译后,将项目设置为顶层文件。
在这里插入图片描述

2.全加器仿真

在这里插入图片描述
在这里插入图片描述

四、和硬件一起实验

1.设置芯片

如果实验开始就是EP4CE115F29C7,则忽略。
否则就点击下图位置更改。
在这里插入图片描述

2.绑定引脚

Assignments——》Pin Planner
在这里插入图片描述
查阅相关资料,设置引脚如下。
在这里插入图片描述
在这里插入图片描述

3.硬件实验

在这里插入图片描述
添加驱动。不会?看这里
在这里插入图片描述
烧录完成。
在这里插入图片描述

4.硬件接线和结果

在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值