verilog常数定义学习笔记

本文介绍了Verilog中定义常数的两种方式:parameter和`define。`define在编译时生效,适用于全局定义;parameter可在实例化时传入,允许在不同文件中动态调整。建议避免使用`define,更多采用parameter或localparam以减少编译依赖和提高代码可读性。
摘要由CSDN通过智能技术生成

1parameter`define是两种定义常数的方法。

这两者本身很好区分。

`define X”在使用时,需要这样“`X”,而parameter直接使用名字即可,不需要使用“`”。

2

`define在编译时有效,如果先编译这个文件,那么`define就生效了,在后续编译中都有效。

parameter可以在例化时传入(推荐用名称传入的方法),也可以使用

  • 3
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值