verilog状态机学习笔记

1、一个模块的输出最好用寄存器打一拍。

在设计分割为子模块时,要将一个寄存器分隔在一个模块的输出端。

2

如果时序要求不允许这么做,那么:

1)将状态机的状态用输出作为状态编码

因为一个没有冗余的状态机的输出肯定是不一样的(输入<=信号可以化为一个选通信号来处理)。所以消除输出冗余项(如果为了某些原因需要这些冗余项,那么就多加一位来区别就可以了)后的状态编码,作为输出时,由于state转移进程是时序的,所以输出也变成时序的了。

2)直接输出state信号,将输出逻辑移动到下级模块去做。

3

若编码使用one-hot编码,则可以使用 full_case parallel_case两个选项。

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值