Wire load model mode概念和使用

前言:做DC综合时了解过这个概念,但一直比较混乱,也不知道什么样的应用下怎么选择wire load mode和wire load model。虽然现在项目里都用DCT综合了,但最近正好需要做一个时序评估,就简单用DC来看timing,仔细一看PT居然报wire load model mode为segmented,有点奇怪,就研究了一下,终于搞清楚了,分享一下~

首先这里有两个概念,wire load model(WLM)和wire load model mode。wire load model表示线负载模型,通俗的表示用多大的net loading来估计net delay,缩写WLM表示model而非mode。Wire load model mode表示评估或计算net delay的方式,一般也简写为wire load mode。当然,是在指定model下去考虑用哪种mode去做评估,它们都是为了在没有physical信息的情况下尽可能真实的反应timing(还是很不真实啦),以此来指示DC工具向哪里努力优化。

下面分别介绍三种mode

1top

最悲观的估计。适合flat physical design,因为floorplan后,subdesign中短线也有可能被拉到很长,所以使用top类型的mode做net delay估计。

2enclosed

相较于top mode,略乐观。对于hierarchical physical design,当你知道floorplan会是什么样,就可以对每个subdesign分别设定model,然后设置enclosed类型的mode,这样更接近真实情况。

工具如何计算delay?Mode enclosed uses the lowest-level WLM that completely encloses the net

这里lowest-level WLM表示一段net中用loading最大的model作为整段net的WLM

3segmented

最乐观的估计。不推荐。工具是对一段net在不同subdesign中用其对应的model分别计算delay,再加起来。应该来说是非常精确的计算,但因为过于乐观,且计算复杂,也没有必要,所以不推荐用这种mode做评估。

WLM来自于your design's target library,你可以指定,也可以用default。

直接打开library可以看到

在dc_shell中用下面的命令也可以二次确认

查看WLM的命令:report_wire_load

get_attribute <lib_name> default_wire_load_mode

嗯……默认是0延迟 model,那么mode选择哪个也就不重要了吧

  • 5
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值