systemverilog-结构体struct

结构体

结构体相当于是一组数据类型的封装,是一组变量的集合,可以作为一个整体进行操作;
但结构体的功能比类少,所以还不如直接在测试平台中使用类。
语法:

struct{
	int a,b;
	logic[7:0] add0;
	logic[23:0] add1;
} struct1;
struct1 是这个struct的名字,引用变量时的格式为:
<struct_name>.<variable_name>
对结构体中add1变量进行赋值
struct1.add1 = 24'hF00000;

可以使用关键字typedef来定义结构体,实现对同一个结构体的多次例化,增强结构体的重用性
使用typedef必须进行例化,来获得结构体名。

typedef struct{
	int a,b;
	logic[7:0] add0;
	logic[23:0] add1;
}struct1;
struct1 st0,st1; //例化结构体,进行空间分配
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值