8.乘法器IP核

实数乘法器IP核

乘法运算是数字信号处理中的基本运算。对于DSP、CPU、ARM等器件来讲,采用C语言等高级语言实现乘法运算十分简单,仅需要采用乘法运算符即可,且可实现几乎没有任何误差的单精度浮点数或双精度浮点数的乘法运算。工程师在利用这类器件实现乘法运算时,无须考虑运算量、资源或精度的问题。对FPGA工程师来讲,一次乘法运算就意味着一个乘法器资源,而FPGA中的乘法器资源是有限的。另外由于有限字长效应的影响,FPGA工程师必须准确掌握乘法运算的实现结构及性能特点,以便在FPGA设计中灵活运用乘法器资源。
对于相同位宽二进制数来讲,进行乘法运算所需的资源远多于进行加法或减法运算所需的资源。另外。由于乘法运算的步骤较多,从而导致其运算速度较慢。为了解决乘法运算所需的资源较多以及运算速度较慢的问题,FPGA一般都集成了实数乘法器IP核。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值