VCS的ralgen命令产生UVM寄存器模型

前言

    在验证时,寄存器模型是必不可少的一个环境,且在项目中可能面临着需要不断更新迭代的需求。那么如何快速建立 UVM寄存器模型 呢?采用VCS ralgen脚本的主要步骤如下:

  1. 中心化的寄存器描述文件,如 ods、CSV、XML等;
  2. 根据寄存器描述文件产生ralgen需要的 .ralf 格式的寄存器文件;
  3. vcs的ralgen 命令生成 UVM register modle;

    我们通过脚本来解析表格,直接生成寄存器模型,也可以生成 ralf 文件,然后通过 VCS 工具中的 ralgen 产生寄存器模型。但是VCS工具提供了更可供选择的option,提供了更强大的机制,所以不妨可以试试用ralgen的方式来构建寄存器模型。下面将逐一介绍。

一、寄存器描述表格

    寄存器模型应该是中心化管理的,因为不同的部门都需要一份严格的寄存器描述文档来开展工作。这里以下图路科验证提供的寄存器列表为例。

二、生成 .ralf 寄存器文件

    首先我们先介绍ralf文件的内容格式。先以VCS提供的例子为参考,如下就是ralf文件中的一个register block的描述形式。

在这里插入图片描述
解释上图:

linecodedescription
1b1此register block的名称;
2bytes 11)指定这个register block的位宽;
2)如果所有register位宽之和大于此bytes生成ralf文件时会报错;
3register r此register的名称;
4bytes 11)指定这个register的位宽;
2)如果所有field位宽之和大于此1bytes,则会报错;
3)如果没有添加bytes,那么系统会自动计算所有bits之和,然后找到 最小正整数*8 >= 所有域位宽之和。例如所有域的位宽之和是12bit,那么系统会用16bit来存储这个寄存器数据。
5field WDT_EN@'h51)域名为WDT_EN;
6bits 1指定这个域的位宽;
7reset 'h0复位值为’h0;
8access rw该域的访问属性是RW;
9enum{ …}在这个域的UVM代码中会声明一个enum

在这里插入图片描述
在这里插入图片描述
    下面介绍一下它们基本语法规则:

2.1 field

    field 对应生成 UVM 寄存器模型中的 uvm_reg_field,如下:
在这里插入图片描述
对应的uvm_reg,如下:
在这里插入图片描述

2.2 register

ralgen支持 register 中的 field 为数组的形式,如下:
在这里插入图片描述

2.3 register block

类似field,ralgen 也支持 register block 中的 register 为数组的形式,如下:
在这里插入图片描述

2.4 system

在这里插入图片描述
在这里插入图片描述

三、产生UVM寄存器模型

    有了.ralf 的寄存器描述文件后,我们通过以下命令来产生UVM寄存器模型,如下:

ralgen [option] -t topname -I dir -uvm filename.ralf
optiondescription
-t topname指定 ralf 文件中一个top_level的register block 或者 system 的名称;
-uvm生成UVM寄存器模型;
-o ral_model_name指定输出文件的名称,生成的register model文件名为ral_model_name.sv
-I dir指定ralgen去搜索文件的路径;
注意:这里的 -I 是大写的 i ;
filename.ralf指定 ralgen 脚本吃进去的.ralf格式的寄存器描述文件;
-b为寄存器和memory指定后门访问方式,生成后门访问的代码
-B寄存器的地址以 Byte 为单位;
-c b即coverage bits,生成register bits(寄存器比特位)功能覆盖率模型;
-c f即coverage field,生成“Field Value”(域段值)功能覆盖率模型
-c acoverage address,生成“ADDRESS MAP”(地址映射)功能覆盖率模型;
注:几种覆盖率模型也可以同时存在,如 -c af;

👉注:这里对 ralgen 只做了最简单的介绍,ralgen还有许多的功能没有提及,待以后用到时,会继续更新…

  • 12
    点赞
  • 115
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 6
    评论
评论 6
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

小小verifier

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值