【RTL】异步FIFO格雷码的非2幂次用法

普通格雷码

在这里插入图片描述
普通格雷码在异步FIFO中应用时,会有FIFO深度为2的幂次的约束
因为深度为2的幂次时,比如格雷码从7->0,可以保证只有1bit跳转
但是,从5->0,则不能保证只有1bit跳转,格雷码不可靠

解决办法

可以把限制降低为必须是偶数深度,比如一共是上图8个指针,实际只用6个,可以用1-6的数值,
因为6->1的变换是符合1bit跳变的。
其他情况同理,只要把头和尾截去相同数量即可。

  • 3
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值