详解vivado网表文件DCP文件的封装生成、使用与注意事项

本文转自:https://blog.csdn.net/u014586651/article/details/110653530

详解vivado网表文件DCP文件的封装生成、使用与注意事项

风中月隐 2020-12-04 16:12:37  107  已收藏 1

分类专栏: FPGA 文章标签: vivado dcp文件 FPGA 网表文件

版权

 

目录

 

1 概述

本文是用于记录vivado中当需要加密源代码时,封装生成DCP文件,与dcp文件的使用过程。

2 DCP文件简介

DCP文件是vivado独有的一种相当于fpga设计中的hdl源文件的加密压缩文件。其有两个作用:

  1.    加密,可以有效保护自己的知识产权,在不想共享源文件又不得不给运行demo时非常有效;
    
    • 1
  2.    压缩,并不是每一个文件都需要单独生成一个DCP文件,一个工程生成1个即可,加载替换时很方便;
    
    • 1

3 DCP文件的封装生成

首先建议将需要生成dcp的代码单独建立一个工程,保证代码的独立性,整洁性。然后按下面步骤进行:

第一步,在settings/synthesis/options/more Options*中,填写-mode out_of_context。然后点击ok进入下一步。如下图所示:

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-EVf67eLJ-1607069217232)(file:///C:\Users\Lirensan\AppData\Local\Temp\msohtmlclip1\01\clip_image002.jpg)]

​ 第二步,将需要生成的文件设置为顶层,然后点击综合,等待综合完成。如下图所示:

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-tPrPVN6D-1607069217236)(file:///C:\Users\Lirensan\AppData\Local\Temp\msohtmlclip1\01\clip_image004.jpg)]

第3步,在工程文件的xx.runs/synth_1/文件夹下找到需要的xx.dcp文件。其名字和代码的顶层文件名字相同。如下图所示:

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-b03SMIb2-1607069217239)(file:///C:\Users\Lirensan\AppData\Local\Temp\msohtmlclip1\01\clip_image005.png)]

4 DCP文件的调用

DCP文件的调用与生成这个文件的源文件调用方式相同。即这个xx.dcp文件就是替换之前的xx.v或者xx.vhd文件。

比如这里的arinc818_rx_analysis_pkt.v文件生成的arinc818_rx_analysis_pkt.dcp文件的调用。就是用的调用arinc818_rx_analysis_pkt.v的形式。如下图所示:

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-WULUmIE3-1607069217244)(file:///C:\Users\Lirensan\AppData\Local\Temp\msohtmlclip1\01\clip_image007.jpg)]

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-oQSrJv1Z-1607069217247)(file:///C:\Users\Lirensan\AppData\Local\Temp\msohtmlclip1\01\clip_image009.jpg)]

5 DCP文件生成的注意事项

DCP的注意事项如下:

  1. DCP文件不能传参,即不能通过parameter在顶层赋值常数,且想通过parameter来修改DCP中的参数;

  2. DCP文件中尽量不要使用xilinx IP,若必须使用则注意修改名字,不要太常见。因为DCP是一个压缩文件,若里面调用了这个IP,外面也有地方调用IP,如IP设置的有点不同就会形成冲突;

  3. 网表文件不能包含需要带license验证的其他ip,如必须有则作为平行模块在更上层调用;因为vivado会识别到这个ip,然后就可能认为这个ip没有定义;

  • 9
    点赞
  • 89
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Vivado是Xilinx公司推出的集成电路设计软件,支持Verilog和VHDL等HDL语言的设计,其主要功能包括逻辑综合、仿真、布局布线等。其中,网表文件DCP文件Vivado中常见的封装文件网表文件是一种基于门级网表描述的电路设计文件,可用于设计模拟和综合等过程。在Vivado中,当我们进行综合和仿真时,会生成网表文件作为中间产物,以供后续使用。需要注意的是,网表文件只包含特定设计阶段的元素,而不包含具体的FPGA芯片信息。 而DCP文件则是对网表文件进行封装后的设计文件,包含了完整的FPGA芯片信息和约束等元素。它相当于是一个FPGA芯片的镜像,可以直接进行实现和下载。DCP文件包含的信息较为完整,能够支持绝大部分功能的设计和实现。同时,在设计后期,我们也可以将DCP文件作为IP核加入到其他设计中进行使用。 在Vivado中,网表文件DCP文件生成使用十分简单。在设计过程中,我们可以通过勾选“generate output products”选项,自动生成网表文件DCP文件,并选择需要的格式和信息。对于使用网表文件进行仿真或综合等操作,我们只需要在相应的选项卡中选择该文件进行指定即可。而对于DCP文件使用,我们则可以直接将其导入到其他设计中或进行下载。 综上所述,Vivado网表文件DCP文件是电路设计过程中常见的封装文件。在进行设计时,我们可以根据需要生成相应的文件,并进行使用。其中,DCP文件包含的信息较为完整,可以直接进行FPGA芯片的实现和下载,同时也支持IP核的使用

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值