VIVADO网表文件DCP和EDF

为了便于模块化设计,同时保护自己的知识产权,Xilinx Vivado 提供两种代码封装方法:DCP文件和edf文件。
DCP文件生成方法:
1、将需要生成DCP的模块设置为顶层,打开综合设置,在more option中填入 -mode out_of_context,删除所有约束文件(非常重要,约束文件会被封装在DCP中,并且优先级最高),开始综合;
在这里插入图片描述

2、综合完成后在runs\synth_1文件夹下可找到DCP文件,但该DCP文件在实际使用中会有诸多限制,如模块内部有IP核或其他DCP文件,则可能出现错误,如何实现DCP嵌套IP可参照以下步骤;
3、DCP嵌套,为了保证DCP嵌套后能正常被调用综合,在第1步中在综合前可将所有IP核设置为globle模式,在完成综合后打开综合设计,在TCL命令框中输入:write_checkpoint -force D:/x/xxx.dcp,其中xxx为模块名称,这样就可以生成完整的dcp文件。
EDF(网表)文件生成方法:
1、将需要生成EDF的模块设置为顶层,打开综合设置,在more option中填入 -mode out_of_context,删除所有约束文件,开始综合;
2、在完成综合后打开综合设计,在TCL命令框中输入:write_edif D:/x/xxx.edf生成edf文件,输入write_verilog -mode synth_stub D:/x/xxx_stub.edf生成黑盒接口文件,应为edf文件不像DCP文件,可以单独被调用,edf文件必须配合.v的黑盒接口才能被调用;
3、关于设计模块内包含IP核或edf的设计,不建议使用edf封装,很可能报IP错误,特别是出现IP名称冲突时,若实在需要使用,可在TCL命令框中输入:write_edif -force D:/x/xxx.edf生成edf文件,可一定程度上减少报错,但不能保证。

DCP和edf比较:
1、DCP文件对软件版本极敏感,高版本软件生成的DCP无法在低版本软件使用;
2、采用一定手段,DCP可实现IP、DCP嵌套,但EDF实现嵌套的能力较弱。
DCP和edf弊端:
1、无法仿真,不利于系统仿真和集成
2、源文件缺失,不利于版本管理和移植
3、依赖于软件版本,兼容性比rtl文件差太多

评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值