(138)DC综合set_dont_touch_network?

146 篇文章 2 订阅 ¥259.90 ¥99.00

 1 本节目录

1.1 本节目录
1.2 综合介绍
1.3 FPGA介绍
1.4 Verilog介绍
1.5 DC综合set_dont_touch_network?
1.6 结束语

2 综合介绍

综合就是把行为级的RTL代码在工艺、面积、时序等约束下转换成对应的门级网表。
综合是使用软件的方法来设计硬件,然后将门级电路实现与优化的工作留给综合工具的一种设计方法。它是根据一个系统逻辑功能与性能的要求,在一个包含众多结构、功能、性能均已知的逻辑元件的单元库的支持下,寻找出一个逻辑网络结构的最佳实现方案。即实现在满足设计电路的功能、速度及面积等限制条件下,将行为级描述转化为指定的技术库中单元电路的连接。

3 FPGA介绍

FPGA(Field Programmable Gate Array)是在PAL (可编程阵列逻辑)、GAL(通用阵列逻辑)等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

4 Verilog介绍

Verilog HDL是一种硬件描述语言,以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。 Verilog HDL和VHDL是世界上最流行的两种硬件描述语言,都是在20世纪80年代中期开发出来的。前者由Gateway Design Automation公司(该公司于1989年被Cadence公司收购)开发。两种HDL均为IEEE标准。

5 DC综合set_dont_touch_network?

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
DC综合中,set_ideal_network函数用于指定理想时钟、复位和其他信号。其用法如下: ``` set_ideal_network ( ideal_clock => clock_signal_name, ideal_reset => reset_signal_name, ... // 其他信号 ); ``` 其中,ideal_clock和ideal_reset参数是必需的,其他信号是可选的,可以根据设计需要进行设置。这些参数分别表示理想时钟和复位信号的信号名。 在使用set_ideal_network函数之前,需要先将时钟和复位信号定义为全局信号,例如: ``` library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity my_design is port ( clock : in std_logic; reset : in std_logic; ... ); end my_design; architecture Behavioral of my_design is signal internal_clk : std_logic; signal internal_reset : std_logic; ... begin ... end Behavioral; ``` 上述代码中,时钟和复位信号被定义为输入端口,而内部信号internal_clk和internal_reset被定义为全局信号。 然后,可以在DC综合的脚本中使用set_ideal_network函数指定时钟和复位信号,例如: ``` set_ideal_network ( ideal_clock => internal_clk, ideal_reset => internal_reset ); ``` 这里,ideal_clock和ideal_reset参数分别使用了定义的内部信号internal_clk和internal_reset作为理想时钟和复位信号。此后,在设计中就可以使用这些内部信号进行时钟和复位同步等操作。 需要注意的是,set_ideal_network函数只是一种设置时钟、复位和其他信号的方式,具体的用法和实现可能会因设计的不同而有所变化。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

宁静致远dream

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值