22.9克舵机

实验现象

  通过调整电位器来改变舵机旋转的角度。

(有些电脑的USB的驱动能力不足,不能驱动舵机,请适用DC9V的电源适配器给arduino供电。)

理论学习

  标配9g舵机引脚,黄色为信号线,红色为电源,棕色为地线。

  舵机是一种位置伺服的驱动器,具有闭环控制系统的机电结构,由小型直流电机、变速齿轮组、可调电位器、控制板等部件组成,由于可以方便地控制舵机旋转的角度(舵角,但是舵角一般不超过180°),因此,舵机在要求角度不断变化的控制系统中得到了广泛的应用。

  舵机在工作中,控制器发出脉冲宽度调制(PWM)信号给舵机,获得直流偏置电压。舵机内部有一个基准电路,产生周期为20ms,宽度为1.5ms的基准信号,将获得的直流偏置电压与电位器的电压比较,获得电压差输出到电机驱动芯片,驱动芯片根据电压差的正负控制电机的正反转。

  舵机转动的角度是通过调节PWM信号的占空比来实现的,标准PWM信号的周期固定为20ms,理论上脉宽(脉冲的高电平部分)范围在1ms~2ms之间,但实际上脉宽可以在0.5ms~2.5ms之间,脉宽和舵机的转角0°~180°相对应。如以脉宽为0.5ms~2.5ms范围控制舵机的角度转动,转动范围为0°~180°。

  小型舵机的工作电压一般为4.8V或6V,转速也不是很快,所以假如更改角度控制脉宽太快时,舵机可能反应不过来。如果需要更快速的反应,就需要更高的转速了。要精确地控制舵机,其实没有那么容易,很多舵机的位置等级有1024个,那么,如果舵机的有效角度范围为180°的话,其控制的角度精度可以达到180/1024≈0.18°,假定脉宽为0.5ms~2.5ms,则要求的脉宽控制精度为(2.5-0.5)ms/1024≈2μs。

  舵机分别用0.5ms~2.5ms之间的脉宽来对应0°~180°,而且转动的角度与脉宽呈线性关系,则舵机每转动1°,对应的脉宽为(2.5-0.5)ms/180°,该值除不尽,因此,用一个除不尽的脉冲宽度控制舵机转动,显然转动角度的精度会很难控制,为此,实验中以接近2.5ms且能够整除180的值为脉宽的变化范围,则取脉宽为0.5ms~2.48ms,此时,舵机每转动1°脉宽变化为(2.48-0.5)ms/180=11μs。因此,定义脉宽与转动角度之间的关系为:pulsewidth=(angle*11)+500

  根据这个公式可以写出来设置转动角的函数,然后写出第一个例程。

 

void pulse(int angle)
{
  pulsewidth=int((angle*11)+500);
  digitalWrite(PWM_pin,HIGH);
  delayMicroseconds(pulsewidth);
  digitalWrite(PWM_pin,LOW);
  delay(20-pulsewidth/1000);
}

当然还有更简单的办法:调用伺服电机控制lib

#include<Servo.h>// 引入lib
Servo myservo;// 创建一个伺服电机对象
#define potpin A5 // 设定连接可变电阻的模拟引脚
int val; // 创建变量,储存从模拟端口读取的值(0-1023)
void setup()
{
  myservo.attach(9); //9号引脚输出电机控制信号
                     //仅能使用9、10号引脚
}
void loop()
{
  val=analogRead(potpin); //读取来自可变电阻的模拟值(0-1023)
  val=map(val,0,1023,0,179); //利用“map”函数缩放该值,得到0-180角度
  myservo.write(val);  //设定伺服电机的位置
  delay(15); //等待电机旋转到目标角度
}

程序代码

/*
//2种代码形式
#include <Arduino.h>
#define PWM_pin 9
int pulsewidth = 0; //高电平时间
 
void setup()
{
  pinMode(PWM_pin,OUTPUT);
}
 
void loop()
{
    pulse(90);          //设置舵机指向90度
}
 
void pulse(int angle)           //设置舵机角度为angle
{
    pulsewidth=int ((angle*11)+500);    //计算高电平时间
    digitalWrite(PWM_pin,HIGH);     //设置高电平
    delayMicroseconds(pulsewidth);      //延时pulsewidth (us)
    digitalWrite(PWM_pin,LOW);      //设置低电平
    delay(20-pulsewidth/1000);      //延时20-pulsewidth/1000 (ms)
}
*/
 
#include <Servo.h> //引入lib
Servo myservo;  // 创建一个伺服电机对象
#define potpin  A5  // 设定连接可变电阻的模拟引脚
int val;    // 创建变量,储存从模拟端口读取的值(0到1023)
void setup()
{
  myservo.attach(9);  // 9号引脚输出电机控制信号
                     //仅能使用9、10号引脚
}
void loop()
{
  val = analogRead(potpin);
 // 读取来自可变电阻的模拟值(0到1023之间)
  val = map(val, 0, 1023, 0, 179);     // 利用“map”函数缩放该值,得到伺服电机需要的角度(0到180之间) 
  myservo.write(val);     // 设定伺服电机的位置
  delay(15);             // 等待电机旋转到目标角度
}




  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值