set_false_path 与 set_disable_timing 的区别

在静态时序分析中, set_false_path 和 set_disable_timing 都可以用来设置 timing exceptions,告诉工具忽略某些特定的path,但是在使用过程中,这两个命令又有些细微的区别。

set_false_path 是用来设置 timing path,表示不用 check 这些 path 的 timing,但是依然会去计算这些 path 上的delay

set_disable_timing 是用来设置 timing arc,表示打断这条时序弧,不去计算这段时序弧的 delay,并且所有经过这段时序弧的 path 都会被打断(要慎用)

举个例子:
在这里插入图片描述

上图中,在 function mode 下,是不需要管 Test_Clk 的,此时就可以把 MUX 的 a-y 这条 timing arc 设置成 disable 的:

set_disable_timing -from U1/a -to U1/y

思考:

上图中,是否可以用 set_false_path -from Test_clk -to Int_Clk 来约束?

答案是:不可以!!,因为 set_false_path 只对数据路径有效,而 [ -from Test_clk -to Int_Clk ] 这段 path 是 clock path,这样设置是无效的

补充:

什么是 timing arc?

timing arc 时序弧是 timing path 的基本组成元素,比如cell input to output就是一种典型的timing arc,至于为什么叫时序弧,可能是因为在时序图上经常用一条弧形线段来标示这些元素,比如下图中的这些带箭头的弧形线段都是 timing arc
在这里插入图片描述

  • 15
    点赞
  • 152
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值