静态时序分析(STA)——建立约束

本文介绍了静态时序分析(STA)中的约束建立,包括时钟声明、输入输出路径、设计规则检查和时间分析的完善。重点讲解了时钟定义、时钟不确定性、输入延迟、输出延迟、虚拟时钟的概念和约束设置方法,旨在帮助读者掌握STA的基础知识。
摘要由CSDN通过智能技术生成

目录

1、时钟声明

1、(主)时钟定义

 2、时钟不确定性

 3、时钟延迟

4、生成时钟

2、输入输出路径

1、输入延迟

2、输出延迟

3、时序路径分组

 4、外部属性建模

1、输入:

2、输出

3、设计规则检查(DRC)

4、虚拟时钟

 5、完善时间分析

1、set_case_analysis

 2、set_disable_timing

3、set_false_path

 4、set_multicycle_path

参考说明



本篇就主要学习 STA约束的建立。


1、时钟声明

1、(主)时钟定义

定义时钟时需要声明如下几个因素:

  • 时钟源:可以是设计的端口,也可以是设计内部某个单元的引脚(通常是时钟生成逻辑的一部分)
  • 周期:时钟的周期
  • 占空比:高电平(正相)和低电平(负相)的持续时间。
  • 边沿时刻:上升沿和下降沿翻转时间。

以上的约束为:

create_clock -name S
  • 16
    点赞
  • 95
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 3
    评论
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

在路上-正出发

哈哈,多少是个心意

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值