(144)DC综合set_disable_timing设置?

146 篇文章 2 订阅 ¥259.90 ¥99.00

 1 本节目录

1.1 本节目录
1.2 综合介绍
1.3 FPGA介绍
1.4 Verilog介绍
1.5 DC综合set_disable_timing设置?
1.6 结束语

2 综合介绍

综合就是把行为级的RTL代码在工艺、面积、时序等约束下转换成对应的门级网表。
综合是使用软件的方法来设计硬件,然后将门级电路实现与优化的工作留给综合工具的一种设计方法。它是根据一个系统逻辑功能与性能的要求,在一个包含众多结构、功能、性能均已知的逻辑元件的单元库的支持下,寻找出一个逻辑网络结构的最佳实现方案。即实现在满足设计电路的功能、速度及面积等限制条件下,将行为级描述转化为指定的技术库中单元电路的连接。

3 FPGA介绍

FPGA(Field Programmable Gate Array)是在PAL (可编程阵列逻辑)、GAL(通用阵列逻辑)等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

4 Verilog介绍

Verilog HDL是一种硬件描述语言,以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。 Verilog HDL和VHDL是世界上最流行的两种硬件描述语言,都是在20世纪80年代中期开发出来的。前者由Gateway Design Automation公司(该公司于1989年被Cadence公司收购)开发。两种HDL均为IEEE标准。

5 DC综合set_disable_timing设置?

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
set_disable_timing是一种约束命令,用于禁用特定路径的时序分析。它通常用于要求某个库单元之间的时序关系不被考虑。例如,使用set_disable_timing -from cell.输入 -to cell.输出可以禁用从输入到输出的时序路径的分析。这在一些特定情况下是有用的,比如对于异步端口之间的时序关系,因为这些端口没有时钟,时序无法分析相关的路径。\[3\] 与set_false_path相比,set_disable_timing更加具体和局部化。set_false_path是一种全局的时序例外命令,用于覆盖一个或多个周期的时序关系。如果一个例外是set_false_path,而另一个例外不是,那么set_false_path具有更高的优先级。\[1\] 如果需要解除set_false_path的影响,可以使用reset_path或reset_design命令。另外,可以使用report_timing_requirements命令列出所有的point-to-point例外,以便进行进一步的分析。\[5\] #### 引用[.reference_title] - *1* [DC综合基本概念-timing exception:set_false_path,set_disable_timing,set_multicycle_path](https://blog.csdn.net/weixin_52822919/article/details/129998679)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-99b5c134546dd4fed731a53263024813.142^v90^control_2,239^v3^control"}} ] [.reference_item] - *2* [IC基本知识学习](https://blog.csdn.net/weixin_43755290/article/details/107940622)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-99b5c134546dd4fed731a53263024813.142^v90^control_2,239^v3^control"}} ] [.reference_item] - *3* [set_disable_timing和set_data_check,相关一条efuse时序违反路径的梳理。](https://blog.csdn.net/u011729865/article/details/120621951)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-99b5c134546dd4fed731a53263024813.142^v90^control_2,239^v3^control"}} ] [.reference_item] - *4* [set_false_path 与 set_disable_timing 的区别](https://blog.csdn.net/u011729865/article/details/119959417)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-99b5c134546dd4fed731a53263024813.142^v90^control_2,239^v3^control"}} ] [.reference_item] - *5* [DC综合基本概念-timing exception:set_false_path,set_disable_timing,set_multicycle_path](https://blog.csdn.net/weixin_52822919/article/details/129998679)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-99b5c134546dd4fed731a53263024813.142^v90^control_2,239^v3^control"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

宁静致远dream

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值