【FPGA】【Verilog】【基础模块】多路选择器

使用()?():()实现

module mux1(a,b,sel,out );
input a ,b, sel;
output out ;
assign out = sel? a : b;
endmodule 

使用case 实现:

module mux2(out ,a,b,sel);
input a,b,sel;
output out;

reg out;

always @(a or b or sel)
begin 
	case (sel )
		1'b0: out <=  a;
		1'b1:out <= b;
		default: out <= 1'bz;
	endcase 
end
endmodule 
	

使用if else 实现:

module mux3(out ,a ,b,sel);
input a,b,sel;
output out;

reg out ;
always @(a or b or sel )
	begin 
		if(sel )
			out  = a;
		else 
			out  = b;
	end
endmodule
 	


评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值