Vivado生成及仿真网表文件

Vivado生成及仿真网表文件

1- 将子模块设置为顶层模块 - 右键Set as top

2-将该顶层模块设置综合属性

Options中将-faltten_hierarchy设置为full属性(意思是模块综合后的层级结构全部为展开,只剩下顶层)。

在综合时Vivado会自动将顶层的I/O端口插入IO buffer,设置-mode out_of_context属性,表示在该级不插入任何I/O buffer。

3- 综合工程并通过TCL命令生成网表文件

综合过后,点开“Open Synthesized Design”,在TCL控制台输入命令:

(1)导出顶层模块例化文件,输出文件名跟顶层名一致。

  write_verilog -mode synth_stub E:Netlist/netlist_test.v

  (2) 导出综合后的网表文件。

        ① 不含Xilinx IP

        write_edif  E:Netlist/netlist_test.edf

        ② 包含Xilinx IP

        write_edif -securit

  • 6
    点赞
  • 59
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值