第25篇:4位移位寄存器

Q:我们再来设计实现由4个D触发器构成的4位移位寄存器。

A:将4个D触发器串接级联构成的具有移位功能的寄存器称为移位寄存器(Shift Register)。二进制数据从第一个触发器的串行输入端输入,其余每个触发器的输入端均与前一个触发器的输出相连。

经过4个周期后,寄存器中存储的数据由原来Q_3Q_2Q_1Q_0 变为D_3D_2D_1D_0。此时,串行输入数据可以从并行数据输出端输出。该电路的串行输入数据是从低位往高位向左移位的。4位移位寄存器Verilog代码:

image-20231025121438833

使用DE2-115开发板的开关 SW[0] 作为输入D,KEY[1] 作为脉冲时钟时钟clk输入,KEY[0]作为异步复位信号rst_n输入。在 LEDR[3:0] 上显示移位寄存器的输出状态Q。ModelSim仿真结果:

  • 7
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值