为什么在Modelsim SE仿真测试文件中always后面直接接一个赋值语句仿真会卡死?

问题出现如下:

1、使用的verilog语言;

2、modelsim仿真测试文件中(testbench),定义了一个变量(dout);

3、使用always语句对其赋值后,编译没报错,仿真时会出现下面几种情况:

第一种情况:

`timescale 1ns/1ps
module always_tb ();
reg         clk;
reg         link;
reg [7:0]   dout;
initial begin clk <= 1'b0; link <= 1'b1; end
always #10 clk = ~clk;
always     dout = (link) ? 8'h00 : 8'hff;
endmodule

 结果:编译通过,仿真无结果,卡死

第二种情况:

`timescale 1ns/1ps
module always_tb ();
reg         clk;
reg         link;
reg [7:0]   dout;
initial begin clk <= 1'b0; link <= 1'b1; end
always #10 clk = ~clk;
always #10 dout = (link) ? 8'h00 : 8'hff;
endmodule

结果:编译通过,仿真通过,dout前10ns时间无数据。

 第三种情况:

`timescale 1ns/1ps
module always_tb ();
reg         clk;
reg         link;
wire [7:0]  dout;
initial begin clk <= 1'b0; link <= 1'b1; end
always #10 clk = ~clk;
assign cmos_data = (cmos_vsync) ? 8'h00 : 8'hff;
endmodule

结果:编译通过,仿真通过

总结:

个人觉得always语句表示总是给某一变量赋值,当在always语句中没有加延时时间(如情况1),相当于always语句一直在执行,仿真根本反应不过来;当在always语句中加延时时间#10(如情况2),相当于在延时10ns过后才进行一次dout的赋值;那为什么使用assign连续赋值语句的时候(如情况3),仿真就能正常进行,难道与变量类型有关,还是跟modelsim执行代码有关?

 

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值