FPGA学习笔记(二)——verilog 语法讲解

Verilog语法介绍:
数字电路中主要有组合逻辑和时序逻辑两种电路。
组合逻辑:多路选择器、译码器、加法器、乘法器等;
时序逻辑:最基本的是计数器。
Verilog文件的基本结构:
一个文件中可以包含多个模块。
方法1
//模块声明 模块名 端口列表
module mux2 (a,b,sel,out,io);
//端口属性定义
	input [7:0] a;
	input [7:0] b;
	input sel;//sel = 0,out输出a的值;sel=1,out输出b的值。
	output [7:0] out;
	inout io;
//定义内部信号,分为寄存器型reg和线网型wire
组合逻辑使用wire,时序逻辑使用reg,但是always块中必须使用reg
wire oe;
//二选一多路器
//连续赋值语句
assign out = (sel == 0)?a:b;
简化上个语句
assign out = !sel?a:b;
assign out = sel?b:a;
三态门控制
oe信号
assign oe = sel;
io信号
assign io = oe?out[0]:1’bz;

//verilog位操作
//1、取信号中的某一位直接用作信号源
wire [2:0] m;
assign m = out[5:3];
//2、循环移位
reg [7:0] shift_a;
每个时钟都会移动一次
always @(posedge clk)
shift_a <= {shift_a[0],shift_a[7:1]};
//移位寄存器
reg [7:0] shift_a;
wire data;
always @(posedge clk)
shift_a <= {shift_a[6:0],data};//移到低位
always @(posedge clk)
shift_a <= {data,shift_a[7:1]};//移到高位

//3、位拼接
wire [3:0] x;
wire [3:0] y;
wire [7:0] z;
assign z = {x,y};
wire [31:0] n;
assign n = {y,7{x}};
等效于
assign n = {y,x,x,x,x,x,x,x};
//数据表示
assign x = 4’b1001;
assign x = 4’d9;
assign x = 4’h9;

assign x = 4’hc;

assign n = 32’h1234_4567;
assign z = 8’b1001_1101;

//运算
//加(+)、减(-)、乘(*)、除(/)

//逻辑运算
//逻辑与&& 
a = 4’b1011; b = 4’b0000;
wire c;
c = a && b; c = 0;

//按位与&  
a = 4’b1011; b = 4’b0000;
wire [3:0] c;
c = a & b; c = 4’b0000;

//逻辑或|| 
a = 4’b1011; b = 4’b0000;
wire c;
c = a || b; c = 1;

//按位或  
a = 4’b1011; b = 4’b0000;
wire [3:0] c;
c = a | b; c = 4’b1011;

//逻辑非!
a = 4’b1011; b = 4’b0000;
wire c;
c =! a = 0;

//按位取反
a = 4’b1011; b = 4’b0000;
wire [3:0]c;
c = ~b= 4’b1111;

//优先级
//为了避免优先级出错,最好加上括号。

endmodule

方法2
module mux2 (
//端口属性定义
input [7:0] a,
	input [7:0] b,
	input sel,
	output [7:0] out,
	inout io
);	
endmodule

 

  • 1
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: 《FPGA自学笔记——设计与验证》是一本关于FPGA设计和验证的入门教材。本书以VHDL和Verilog两种HDL语言为主要工具,通过实例讲解FPGA的基本概念、设计流程和验证方法。同时,本书还通过实例演示了如何使用Xilinx ISE和ModelSim这两个主流软件工具进行FPGA设计和验证。 本书的内容分为五个部分,分别是FPGA基础知识、FPGA设计流程、FPGA验证方法、FPGA性能优化以及FPGA应用实例。其中,FPGA基础知识部分介绍了FPGA的基本构成、组成部件以及通用数字电路设计知识;FPGA设计流程部分从设计输入、RTL设计、布局布线、实现生成等方面详细介绍了FPGA设计流程;FPGA验证方法部分主要介绍了功能验证和时序验证这两个方面的知识;FPGA性能优化部分介绍了FPGA的几种性能指标以及如何通过一定的优化方法提高FPGA性能;FPGA应用实例部分通过几个实例演示了如何应用FPGA进行数字电路设计。 本书的难度适中,适合初学者学习和参考,同时也可以作为FPGA初学者的参考书籍。本书涉及的知识点较为全面,可以为初学者提供一个全面的FPGA设计和验证入门指南。其内容易于理解,实例讲解深入浅出,对于想要学习FPGA设计和验证的人群来说是一本很好的参考书。 ### 回答2: 《FPGA自学笔记——设计与验证》PDF是一本很好的自学FPGA的书籍。这本书包含了FPGA基本概念、设计流程、Verilog HDL语言、开发工具、测试方法等多个主题,非常详尽地介绍了FPGA的基本知识和开发技巧。读这本书可以帮助我们更好地理解FPGA的原理和功能,从而更加熟练地掌握FPGA的设计和验证。 此外,这本书还提供了很多实例来帮助我们更好地理解FPGA的设计和验证。这些实例包含多种应用场景,例如数字逻辑、时序控制、通信等,能够帮助我们从不同角度学习FPGA的相关知识。而且,这本书还提供了实验指导,通过做实验来让我们更深入地理解FPGA的各种知识和技能。 总之,这本书《FPGA自学笔记——设计与验证》PDF是一本非常好的FPGA自学指南,通过阅读这本书,我们可以掌握FPGA基本知识和开发技能,更好地应用FPGA进行各种应用开发。我相信,读完这本书,你一定能够对FPGA有更深刻的认识,并且能够灵活运用FPGA进行各种应用开发。 ### 回答3: 《FPGA自学笔记——设计与验证》是一本以FPGA为研究对象的书籍。它详细介绍了FPGA的诸多特性和应用。该书主要分为两部分,第一部分介绍了FPGA的基本概念,并讲解Verilog语法和使用方法。第部分是实践性较强的部分,通过编写案例代码进行实际操作。 该书着重强调了FPGA设计流程,通过案例演示了FPGA设计的全过程。该书还提供了大量的练习题和案例代码,读者可以通过反复练习和实际操作,逐渐掌握FPGA的设计和验证技能。 总体来说,《FPGA自学笔记——设计与验证》是一本非常实用的FPGA入门教材。它从基础知识入手,循序渐进地讲解FPGA的各个方面。并且,该书重点讲解了如何运用Verilog语言进行FPGA设计,这对FPGA初学者来说是一个非常实用的指南。 如果你对FPGA领域感兴趣,且希望通过自学来掌握FPGA的基本操作和设计方法,那么《FPGA自学笔记——设计与验证》是一本非常值得推荐的书籍。  
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值