FPGA学习笔记(二)——verilog 语法讲解

Verilog语法介绍:
数字电路中主要有组合逻辑和时序逻辑两种电路。
组合逻辑:多路选择器、译码器、加法器、乘法器等;
时序逻辑:最基本的是计数器。
Verilog文件的基本结构:
一个文件中可以包含多个模块。
方法1
//模块声明 模块名 端口列表
module mux2 (a,b,sel,out,io);
//端口属性定义
	input [7:0] a;
	input [7:0] b;
	input sel;//sel = 0,out输出a的值;sel=1,out输出b的值。
	output [7:0] out;
	inout io;
//定义内部信号,分为寄存器型reg和线网型wire
组合逻辑使用wire,时序逻辑使用reg,但是always块中必须使用reg
wire oe;
//二选一多路器
//连续赋值语句
assign out = (sel == 0)?a:b;
简化上个语句
assign out = !sel?a:b;
assign out = sel?b:a;
三态门控制
oe信号
assign oe = sel;
io信号
assign io = oe?out[0]:1’bz;

//verilog位操作
//1、取信号中的某一位直接用作信号源
wire [2:0] m;
assign m = out[5:3];
//2、循环移位
reg [7:0] shift_a;
每个时钟都会移动一次
always @(posedge clk)
shift_a <= {shift_a[0],shift_a[7:1]};
//移位寄存器
reg [7:0] shift_a;
wire data;
always @(posedge clk)
shift_a <= {shift_a[6:0],data};//移到低位
always @(posedge clk)
shift_a <= {data,shift_a[7:1]};//移到高位

//3、位拼接
wire [3:0] x;
wire [3:0] y;
wire [7:0] z;
assign z = {x,y};
wire [31:0] n;
assign n = {y,7{x}};
等效于
assign n = {y,x,x,x,x,x,x,x};
//数据表示
assign x = 4’b1001;
assign x = 4’d9;
assign x = 4’h9;

assign x = 4’hc;

assign n = 32’h1234_4567;
assign z = 8’b1001_1101;

//运算
//加(+)、减(-)、乘(*)、除(/)

//逻辑运算
//逻辑与&& 
a = 4’b1011; b = 4’b0000;
wire c;
c = a && b; c = 0;

//按位与&  
a = 4’b1011; b = 4’b0000;
wire [3:0] c;
c = a & b; c = 4’b0000;

//逻辑或|| 
a = 4’b1011; b = 4’b0000;
wire c;
c = a || b; c = 1;

//按位或  
a = 4’b1011; b = 4’b0000;
wire [3:0] c;
c = a | b; c = 4’b1011;

//逻辑非!
a = 4’b1011; b = 4’b0000;
wire c;
c =! a = 0;

//按位取反
a = 4’b1011; b = 4’b0000;
wire [3:0]c;
c = ~b= 4’b1111;

//优先级
//为了避免优先级出错,最好加上括号。

endmodule

方法2
module mux2 (
//端口属性定义
input [7:0] a,
	input [7:0] b,
	input sel,
	output [7:0] out,
	inout io
);	
endmodule

 

  • 1
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: FPGA是一种可编程逻辑器件,可以通过编程实现各种功能。而Verilog是一种硬件描述语言,用于描述FPGA中的电路。Verilog基础语法包括模块定义、端口定义、信号定义、赋值语句、条件语句、循环语句等。模块定义是Verilog中最基本的语法,用于定义一个电路模块。端口定义用于定义模块的输入输出端口。信号定义用于定义模块内部的信号。赋值语句用于给信号赋值。条件语句用于根据条件执行不同的代码。循环语句用于重复执行一段代码。掌握Verilog基础语法学习FPGA编程的基础。 ### 回答2: FPGA是一种可编程逻辑器件,它可以通过硬件描述语言来编写自定义的逻辑电路来实现各种功能。其中,Verilog是一种常用的硬件描述语言,有助于实现FPGA的功能。 Verilog语言主要由模块、端口、信号、语句和运算符五个基本部分组成。模块是Verilog中的最基本的语法单元,类似于传统程序语言中的函数,模块之间可以通过实例化进行连接。端口则是与外部世界进行通信的接口,可以分为输入端口(input)和输出端口(output)两种类型。信号是Verilog中表示数字信号的基本单元,可以是位向量、整数或实数。语句则是Verilog中描述操作和行为的语言,常用的语句包括赋值语句、分支语句和循环语句。运算符则是Verilog中用于进行操作的符号,包括算数运算符、逻辑运算符和位运算符。 在Verilog语言中,还有一些常用的结构体和命令可以帮助我们更方便地实现FPGA的功能。其中,常用的结构体包括always语句、case语句和module归档,常用的命令包括initial语句、wire语句和reg语句。always语句可以在指定的触发条件下执行某一段代码,case语句可以根据不同的条件执行不同的代码段,module归档则可以将多个模块合并为一个模块。initial语句可用于在仿真开始前初始化某些信号,wire语句则用于声明并连接信号,reg语句则用于声明并存储信号。 总体而言,了解FPGAVerilog基础语法可以帮助我们更好地理解FPGA技术的应用和实现。然而,理论知识只有结合实际操作和实验才能更好地掌握。所以,我们还需要结合实际项目来进行练习和实践,从而更好地掌握FPGAVerilog基础语法。 ### 回答3: FPGA(可编程门阵列)是一种可编程逻辑器件,可以用来创建定制的数字电路。Verilog是一种硬件描述语言,用于描述数字电路的结构和行为。 Verilog基础语法有以下几个部分: 1.模块定义:Verilog代码以模块的形式进行组织,每个模块都有一个名称和端口列表。模块定义以module关键字开始,以endmodule关键字结束。 2.端口声明:模块的端口是输入和输出连接到其他模块或FPGA芯片的引脚。端口可以是输入(input)、输出(output)或双向(inout)。端口声明在模块定义中。 3.信号声明:信号是描述数字电路中状态的变量。可以是单个位(wire)或多位(reg),在模块中声明。 4.赋值语句:用来为信号赋值,包括非阻塞赋值(<=)、阻塞赋值(=)和连续赋值(assign)。 5.条件分支语句:if, else if和else语句是用来控制程序流程,实现条件判断。 6.循环语句:Verilog支持for、while、do while和forever等类型的循环语句,可以在程序中实现迭代操作。 7.模块实例化:用来将其他模块作为子模块嵌入到当前模块中,从而实现复杂的数字电路。 除上述基本语法外,Verilog还有其他常用语法,如always块、initial块和function定义等。需要深入了解和使用Verilog,可以参考相关资料和教程。掌握了Verilog语法,可以使用FPGA搭建各种个性化的数字电路,用于嵌入式系统、数字信号处理、计算机网络等各种应用领域。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值