vivado中bit文件怎么没有生成_Vivado时序约束篇——时钟约束

本文详述了在Xilinx Vivado中进行时序约束的方法,包括主时钟定义、虚拟时钟、衍生时钟的约束,以及时钟组和排他性时钟组的设置,旨在帮助理解如何管理和优化FPGA设计的时序。
摘要由CSDN通过智能技术生成

68e747123c21d63af659ac5e5280b2e9.png

    此系列文章为在学校时的笔记总结,主要记录总结Xilinx Vivado工具中的时序约束。

f60bf6e826d237e3b35f66aca71756a2.png

7f367c1e213d40b74a3e603961c809f7.png

主时钟(primary clock)

e9aa7db29effe4f3314283da5c16d2d0.png

    主时钟应首先被定义,因为其他时序约束往往以主时钟为参照标准。主时钟的定义往往应定义在输入端口,而不是clock buffer的输出端口。如下图所示:

973a4c4d1a172947501d98f0ae1f3aaf.png

     针对主时钟进入时钟专用单元,则对主时钟输入端进行约束,时钟专用单元输出时钟将以主时钟作为树根节点进行约束调整。     针对差分时钟信号输入,主时钟约束应在差分时钟正相端进行约束,而不是在正反相上均进行约束,以避免错误的CDC路径。    约束原语:
create_clock -name -period  -waveform {} [get_ports ]
f1d67e761418cf220c1836f39bbf27c4.png 7f367c1e213d40b74a3e603961c809f7.png

虚拟时钟

e9aa7db29effe4f3314283da5c16d2d0.png      虚拟时钟并没有连接到任何物理线网。虚拟时钟同样使用create_ clock命令定义,但不定义源(get_port)。     虚拟时钟往往用于在下列情景中指定输入输出延迟约束 :
  • 外部IO参考时钟并不是设计中的时钟
  • I/O路径参考时钟来源于FPGA内部派生时钟,但内部派生时钟与主时钟的频率关系并不是整数倍。
  • 仅针对I/O指定不同的jitter和latency
  • 虚拟时钟必须在用于约束I/O延迟之前被定义。
 
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值