SystemVerilog基本数组操作for与foreach

在数组操作中使用for和foreach循环

利用for和foreach对一维数组进行操作:

initial beigin
	bit[31:0] src[5],dst[5];
	for(int i=0;i<$size(src);i++)
		src[i]=[i];
	foreach(dst[j])
		dst[j]=src[j]*2;
end

在foreach循环中只需要指定数组名并在其后方括号中给出索引变量,SV会自动遍历数组中的元素。索引变量将会自动声明,并只在循环内收敛。

利用foreach初始化并遍历多维数组:

int md[2][3]='{'{0,1,2},'{3,4,5}};
initial begin
	$display("Initial value: ");
	foreach(md[i,j])
		$display("md[%0d][%0d]=%0d",i,j,md[i][j]);
	$display("New value: ");
	md='{'{9,8,7},'{3{32'd5}}};//对数组最后三个元素赋值为5
	foreach(md[i,j])
		$display("md[%0d][%0d]=%0d",i,j,md[i][j]);
end

上述代码打印结果:

Initial value:
md[0][0]=0
md[0][1]=1
md[0][2]=2
md[1][0]=3
md[1][1]=4
md[1][2]=5
New value:
md[0][0]=9
md[0][1]=8
md[0][2]=7
md[1][0]=5
md[1][1]=5
md[1][2]=5

将上述数组打印成方形矩阵输出:

initial begin
	byte twoD[4][6];
	foreach(twoD[i,j])
		twoD[i][j]=i*10+j;
	foreach(twoD[i])begin
		$write("%2d:",i);
		foreach(twoD[,j])
			$write("%3d",twoD[i][j]);
		$display;
	end
end

注:foreach循环会遍历原始声明中的数组范围。数组f[5]等同于f[0:4],因此foreach(f[i])等同于for(int i=0;i<=4;i++)。对于数组rev[6:2]来说foreach(rev[I])等同于for(int I=6;i>=2;i–)。

  • 8
    点赞
  • 27
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
在SystemVerilog中,合并型数组是一种连续存储的数组类型,可以在赋值时不需要使用花括号。合并型数组的声明方式是在数组名称后面加上方括号,方括号内指定数组的维度大小。例如,声明一个3行8列的合并型数组可以使用以下语法:bit \[3\]\[7:0\] b_pack。这里的\[3\]表示高维度,\[7:0\]表示低维度(第一维)。这样声明的合并型数组可以在赋值时直接使用索引进行操作,无需使用花括号。例如,可以使用foreach循环对合并型数组进行循环索引,如下所示: bit \[3\]\[7:0\] b_pack; foreach (b_pack\[i,j\]) b_pack\[i\]\[j\] = i + j; 这段代码使用foreach循环对b_pack数组进行循环索引,并将每个元素的值设置为其索引的和。这样就完成了合并型数组的初始化。 #### 引用[.reference_title] - *1* *2* [数组 — Systemverilog](https://blog.csdn.net/m0_62334476/article/details/124003989)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^koosearch_v1,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [合并数组与非合并数组——SystemVerilog](https://blog.csdn.net/SummerXRT/article/details/116588881)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^koosearch_v1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值