DDR3学习

DDR

容量计算:2^(row+col+bank)*DQ

DDR3数据速率800~2133Mb/s

带宽计算:有效数据速率x位宽

PCB布局:

DDR数据线等长最短;

地址线星型拓扑结构,菊花链拓扑结构(芯片需支持read/write leveling,自动调整延时值);

地址线匹配电阻靠近CPU,数据线匹配电阻靠近DDR,有ODT时不用加匹配电阻;

PCB走线:

分组

每8bit数据配一个DQS,DQM信号(读取时DQM两个时钟周期后有效;写入时DQM及时生效),DQ0~7,DQS0,DQM0一组;DQ8~15,DQS1,DQM1另一组;DQS线在DQ线中间,与CLK不要相邻,同组信号需以DQS保证等长走同一层,误差±50mil,差分线距误差±5mil(依芯片厂商定义);

时钟信号,控制信号,地址线同一组,保证等长走同一层,误差±50mil,差分线距误差±5mil(依芯片厂商定义)。

阻抗控制

同组线距尽量满足3W原则,单端信号控制50~75Ω,差分阻抗控制100~130Ω,避免内外层阻抗突变(依芯片厂商定义);射频电路和数字电路,以射频电路阻抗控制优先控制,射频信号走线不可太细,损耗会很高;

所有走线都需要有完整的参考平面,对于交流信号,地平面和电源平面一样;

电源走线

地平面完整,电源走线线宽足够宽,VREF大于10mil(依芯片厂商定义)

 

  • 3
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
DDR3 Verilog是一个用于编写DDR3接口控制器的硬件描述语言。它用于实现DDR3数据读写功能。在使用Verilog编写DDR3接口控制器时,可以遇到许多问题,但通过解决问题和学习新的知识,最终可以成功实现DDR3数据的写入和读取功能。 在Verilog编写DDR3接口控制器时,需要使用地址系统来读取数据。读取数据只需要提供地址和读取的数据个数。数据个数可以理解为给出了多少个地址,这个可以自由决定。读取数据需要一定的时间延迟,因为DDR3也需要反应时间。在DDR3准备好数据后,通过app_rd_data端口发送数据,并给出app_rd_data_valid信号。只有当app_rd_data_valid为高时,读取的数据才是有效的。 如果想要实现DDR3读写测试功能,可以将IP核添加到Verilog代码中。通过添加IP核,可以实现DDR3数据的读写功能。然而,请注意作者可能存在疏漏,文档中可能会有一些错误或不完整的地方,欢迎读者批评和指正。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* *3* [DDR3基本的读写测试,适用于verilog语言学习](https://blog.csdn.net/ForeveryMissYou/article/details/119788247)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 100%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值