关于”异步复位,同步释放“的一些理解

8 篇文章 1 订阅
3 篇文章 1 订阅

今天在读代码时,碰到了一个模块要求复位信号高电平有效,但系统的复位是低电平有效,就需要对低电平有效的异步复位信号转换成高有效,同时对其进行异步复位,同步释放处理,但是并不明白这是啥意思,查阅资料资料,记录一下自己的理解!

首先介绍一下同步复位和异步复位。
同步复位:理解为同时复位,即需要复位信号的变化发生在时钟信号的边沿(自己理解,说法并不严谨),其代码实现:

always@(posedge clk) begin
    if(rst_n==1'b0)
        dout<=1'b0;
    else
        dout<=din;
end

异步复位:复位信号不需要依赖于时钟信号的边沿,即复位信号发生变化,就可以直接复位,其代码实现:

always@(posedge clk or negedge rst_n) begin
    if(rst_n==1'b0)
        dout<=1'b0;
    else
        dout<=din;
end

复位按键按下视为复位操作,复位按键松开是为释放操作,但是在异步复位之后,松开释放的过程中,如果!!释放的复位信号,在时钟脉冲上升沿的前后的建立时间与保持时间内发生变化,则会出现亚稳态的情况。

为了避免复位信号出现亚稳态对后续寄存器的状态造成影响,故也可以使用两级寄存器实现一个打拍的操作,减小亚稳态的影响。实现代码:

always @ (posedge clk or negedge rst_n) begin
    if(!rst_n) begin
        rst_1 <= 1'b1;
        rst_2 <= 1'b1;
    end
    else begin
        rst_1 <= 1'b0;
        rst_2 <= rst_1;
    end
end

在这里插入图片描述

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

爱吐槽的胡椒君

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值