基于Verilog的位宽计算函数clogb2


前言

在实际的代码开发过程中,部分数据使用参数化,随着参数的改变,数据位宽也会随之改变,每次手动去修改信号匹配位宽很麻烦,可以采用clogb2函数直接对输入的参数匹配与之相对应的位宽,这样可以节省时间,不用每次去修改。

一、clogb2函数是什么?

clogb2函数是输入整数实现以2为底取对数,其结果向上取整。

verilog-2005标准新增的一个系统函数——clog2()。在Vivado 2017以后的版本中,可以直接使用系统函数$clog2(),且可以直接在输入输出端口使用。但是,如果部分编译器不支持,会导致出错。所以为了代码的移植兼容性比较好,可直接写一个函数实现。

input   [$clog2(DATA)-1:0]  data_width;  //DATA位宽

二、代码示例

clogb2函数verilog代码示例如下:

//----------------------------------------------------------
// 位宽计算函数
//----------------------------------------------------------
function integer clogb2 (input integer depth);
begin
    for(clogb2=0; depth>0; clogb2=clogb2+1) 
        depth = depth >> 1;                          
end
endfunction
//使用方法
localparam DATA_WIDTH = clogb2(depth); //数据位宽
  • 4
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值