解读一下verilog中的function

在读xilinx的AXI接口代码中发现了function,记录一下:

function integer clogb2 (input integer bit_depth);                                   
  begin                                                                              
    for(clogb2=0; bit_depth>0; clogb2=clogb2+1)                                      
      bit_depth = bit_depth >> 1;                                                    
  end                                                                                
endfunction  

verilog中的function定义没有明确function的返回值,其实函数名就是返回值,上述代码中的clogb2就是,在调用时使用以下语法:

localparam bit_num  = clogb2(NUMBER_OF_OUTPUT_WORDS); 
localparam integer WAIT_COUNT_BITS = clogb2(C_M_START_COUNT-1);  

上面的function的作用是计算位宽的,比如输入16,计算出的位宽将是4,也就是clogb2的值是4,返回值也是4。

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值