verilog中的函数和for循环

 在Verilog中,clogb2 的英文全称是 “ceiling(天花板) log base 2”,表示对输入参数取对数(以2为底),并向上取整到最接近的整数值。这个函数通常用于计算内存地址宽度或状态数所需的位数12。

    function integer clogb2(input integer number);
    begin
        for(clogb2 = 0; number > 0 ; clogb2 = clogb2 + 1)
            number = number >> 1 ;
    end
    endfunction

clogb2在verilog中既做函数名,又做返回值

  • 3
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值