AHB APB 简单通讯架构 代码 AHB_Master

在这里插入图片描述

AHB主体

module AHB_Master(
input wire HRESETn,
input wire HCLK, 
input wire TStart,
input wire TEN,
input wire TWRITEn,

output reg HBUSREQ,
input wire HGRANT,
input wire HKGrant,
output reg HEN,
output reg HRWN,
input wire HREADY,
input wire HACK
);

reg AHB_Load;

always @(posedge HCLK or negedge HRESETn)
begin
  if(!HRESETn)
  begin
    HBUSREQ <= 1'b0;
    HEN     <= 1'b0;
    HRWN    <= 1'b1;
    //BYTEN   <= 2'h3; 
    //ADDR    <= 32'h00000000; 
    //WDATA   <= 32'h00000000; 
      
    AHB_Load<= 1'b0;
  end
  else
  begin
    if(TStart & TEN)
    begin
      HBUSREQ <= 1'b1;
      $display("%m Grant Waiting...");
    end
    if(HGRANT)
    begin
      if(HACK)
      begin
        AHB_Load<= 1'b0;
        HBUSREQ <= 1'b0; 
        HEN     <= 1'b0;
        HRWN    <= 1'b1;
        //ADDR    <= 32'h00000000; 
        //BYTEN   <= 2'h3;
        //WDATA   <= 32'h00000000;       
      end
      else if(HREADY & !AHB_Load)
      begin
        $display("%m Grant Get!");
        AHB_Load<= 1'b1;
        HEN     <= 1'b1;
        HRWN    <= TWRITEn;
        //ADDR    <= TADDR;
        //BYTEN   <= TBYTEN;
        //WDATA   <= TWDATA;     
      end
    end // HGRANT  
  end // CLK     
end
  
always @(posedge HCLK)  if(HGRANT & HKGrant) $display("%m Grant Kill");


endmodule  
  • 3
    点赞
  • 20
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值