ADC12D1600使用及FPGA采集

3 篇文章 1 订阅
1 篇文章 2 订阅

1.测试环境

在这里插入图片描述
采用V7采集ADC12D1600,通过内部ila观察信号

2.ADC12D1600配置使用

通过V7通过Non-ECM配置
1600可工作与几种模式,DEMUX和DES,每种模式对应的时钟频率和数据格式不一样
例如同时工作与DEMUX和DES时,时钟频率为400M
说明:DES模式双通道同时采样,采样频率可达3.2G,每个通道采样1600M,双沿输出,输出频率800M
DEMUX模式降低时钟频率,同时将数据分配到相应的通道D数据线上,最终数据频率400M DDR模式
在这里插入图片描述

3.使用TEST模式校准时钟和数据

在这里插入图片描述
时钟经过IBUFDS转为单端信号,再经过IDELAYE2通过CTR控制确定延时,直到采样数据和数据手册test输出数据一致,即可确定相位关系
在这里插入图片描述
该图对应的是经过调整后的采集数据,和测试模式下的数据一致;

4.实际工作

输入50M正弦波
由于同时输出4路数据,这涉及到数据组合格式,数据组合格式可参考数据手册
在这里插入图片描述
这里为调整后的格式,经输出采集后的数据如下:
在这里插入图片描述
即可看到数据正常波形

5.注意点

时钟频率较高,尽量不要处理较复杂逻辑,避免时序错误,
时序约束要设计好,避免时序错误,造成采集错误
所有设计时序必须约束和满足,否则造成采集错误
输出数据格式要严格按照文档要求
所有代码及文档请到csdn下载,已上传

  • 4
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 3
    评论
下面是一个简单的FPGA采集ADC数据的Verilog代码示例: ``` module adc_test( input clk, //时钟信号 input rstn, //复位信号,低电平有效 input [7:0] adc_data, //ADC数据输入 output reg [15:0] data,//采集到的数据 output reg done //采集完成标志 ); parameter DELAY = 100; //延时参数,用于控制采样率 reg [3:0] count; //计数器,用于延时 reg [15:0] sum; //和寄存器,用于累加采样值 reg [3:0] sample_cnt; //采样计数器,用于计算采样次数 always @(posedge clk or negedge rstn) begin if (!rstn) begin //复位状态 count <= 4'd0; sum <= 16'd0; sample_cnt <= 4'd0; data <= 16'd0; done <= 1'b0; end else begin //采样状态 if (count < DELAY) begin count <= count + 1; end else begin count <= 4'd0; sum <= sum + adc_data; //累加采样值 sample_cnt <= sample_cnt + 1; //增加采样次数 if (sample_cnt == 16'd1023) begin //采样完成 data <= sum >> 10; //计算平均值 done <= 1'b1; sum <= 16'd0; sample_cnt <= 4'd0; end end end end endmodule ``` 上述代码中,adc_data为ADC的输出数据,clk为时钟信号,rstn为复位信号。在每个时钟周期中,通过计数器count实现延时,然后将adc_data的值累加到sum寄存器中。当采样次数达到1024时,计算平均值并将done标志置为1,表示采样完成。最终的采样结果存储在data寄存器中。这是一个简单的示例,实际的FPGA采集ADC数据还需要考虑时序控制、数据校验等方面的设计和调试。
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

weixin_43189165

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值