SystemVerilog学习笔记---03参数化类

参数化类

systemverilog提供了参数化的类,类似于C++的模板,在定义时并未指明类的类型参数

类参数化

定义格式:

class parameter_class #(parameter type T=int);
    T value;
endclass

function T val(T ca);
    ....
endfunction

在这里插入图片描述

数值参数化

在这里插入图片描述
carr类创建了3个不同的句柄指向不同类型的对象,其相当于三个不同类型的类,三个对象的句柄的类型不相同,不能将其进行句柄传递,例如:c1=c3,这是错误的。

父类子类参数化

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值