systemverilog-参数化的类

  • 参数化的使用是为了提高代码的复用率

  • 硬件设计中,参数往往是整形,例如端口数目或者位宽
  • 验证环境中,参数的使用更加灵活,可以使用各种类型来做类定义时的参数

参数化的类

  • 在类定义时添加参数 #(type T= int),如果不指定参数类型,则默认采用int类型
  • 将原代码int用参数T来代替
  • 参数化的类将可以在后期例化时使用不同的参数,来存储不同的数据类型
class Stack #(type T = int);
	local T stack[100];
	local int top;
	function void push(input T i);
		stack[++top] = i;
	endfunction:push
	function T pop();
		return stack[top--];
	endfunction
endclass:Stack
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值