FPGA_KC705_流水灯

FPGA_KC705_流水灯

实验环境

编译软件及版本:vivado2018.2
硬件语言:Verilog
硬件开发板:Xilinx Kintex—7 KC705
在这里插入图片描述

前言

  我胡汉三又回来啦,Hhh
  KC705这板子真的跟ZYNQ的不一样,太多坑了,必须填!

正文

  与软件编程里的"Hello World!"一样,硬件编程里的流水灯是非常经典的入门实验,因为它能让你初步开始掌握硬件里的时钟,时钟是非常非常非常重要的,以后就慢慢知道了。
  本来以为之前有用过ZYNQ板子的经验,能很快上手,结果发现大意了,整整一周多,我卡在这个流水灯上,辗转难眠。
  划重点:单端时钟和差分时钟是不一样的!!!
先上代码:

`timescale 1ns / 1ps
//
// Create Date: 2021/03/11 21:07:38 
//

module project_led(
    input                           sys_clk_p,
    input                           sys_clk_n,
    input                           sys_rst,
    
    output    reg    [3:0]    		led
    );
     reg [31:0] counter; 
//对差分时钟采用IBUFGDS IP核去转换
    wire clk;
  • 3
    点赞
  • 20
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值